Ignore:
Timestamp:
Dec 20, 2012, 3:42:59 PM (12 years ago)
Author:
rolagamo
Message:

Version stable avant optimisation

File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.01/SWITCH_GEN.vhd

    r22 r42  
    3232entity SWITCH_GEN is
    3333 --type portio is array(positive range) of std_logic_vector (7 downto 0);   
    34  generic(number_of_ports : positive := 8);
     34 generic(number_of_ports : positive := 4);
    3535     port(
    3636                -- ports d'entree
Note: See TracChangeset for help on using the changeset viewer.