Ignore:
Timestamp:
Sep 18, 2013, 1:39:39 PM (11 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.03/test_xbar_8x8.vhd

    r22 r69  
    431431                                origport:=to_integer(unsigned(portout(destport)(3 downto 0)));
    432432                                rdata_out_en(destport)<='1';
    433                                 if ptype=5 then
    434                                                 etrec<=r_Dlen; --identification de la signature d'en tête valide
     433                                if ptype=5 then --
     434                                                etrec<=r_Dlen;--identification de la signature d'en tête valide
    435435                                        else
    436436                                                etrec<=r_wait;
Note: See TracChangeset for help on using the changeset viewer.