Ignore:
Timestamp:
Dec 20, 2013, 7:55:55 PM (11 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v1.00/Packet_type.vhd

    r39 r70  
    1111
    1212package Packet_type is
    13         constant ADRLEN1                                                                        :natural:=16;
     13       
     14 constant ADRLEN1                                                                               :natural:=16;
    1415 constant MPI_COMM_WORLD                                                        :natural:=1;
    1516 constant MPI_DEFAULT                                                           :natural:=0;
     
    2122 constant MPI_BARRIER                                                           : std_logic_vector(3 downto 0) := "0010";
    2223 constant MPI_BARRIER_REACHED                                           : std_logic_vector(3 downto 0) := "0011";
    23  constant MPI_BARRIER_COMPLETED                                 : std_logic_vector(3 downto 0) := "0100";
     24 constant MPI_BARRIER_COMPLETED                                 : std_logic_vector(3 downto 0) := "1110";
     25 constant MPI_ACK                       : std_logic_vector(3 downto 0) := "0100";
    2426 constant MPI_PUT                                                                       : std_logic_vector(3 downto 0) := "0101";
    2527 constant MPI_GET                                                                       : std_logic_vector(3 downto 0) := "0110";
    2628 constant MPI_BROADCAST                                                         : std_logic_vector(3 downto 0) := "0111";
    2729 constant MPI_INIT                                                              : std_logic_vector(3 downto 0) := "1000";
    28  constant MPI_SPWAN                                                                     : std_logic_vector(3 downto 0) := "1001";
     30 constant MPI_SPAWN                                                                     : std_logic_vector(3 downto 0) := "1001";
    2931 
    3032 constant MPI_WINCREATE                                                         : std_logic_vector(3 downto 0) := "1010";
     
    4042 --paramètres des commandes
    4143 Constant INIT_SEEKMAIN                                                         : std_logic_vector(3 downto 0) := "0001";
    42  Constant INIT_SETRANK                                                          : std_logic_vector(3 downto 0) := "0010";
    43  Constant INIT_REGISTER                                                         : std_logic_vector(3 downto 0) := "0011";
     44 Constant INIT_SETRANK                                                          : std_logic_vector(3 downto 0) := "0011";
     45 Constant INIT_REGISTER                                                         : std_logic_vector(3 downto 0) := "0010";
     46 Constant INIT_STAT                                                                     : std_logic_vector(3 downto 0) := "0100";
     47 Constant INIT_SPAWN                                                                    : std_logic_vector(3 downto 0) := "0101";
     48
     49 Constant SPAWN_LOAD                                                                    : std_logic_vector(3 downto 0) := "1001";
     50 Constant SPAWN_START                                                           : std_logic_vector(3 downto 0) := "1010";
     51 Constant SPAWN_COMP                                                                    : std_logic_vector(3 downto 0) := "1011";
     52 Constant SPAWN_ERR                                                                     : std_logic_vector(3 downto 0) := "1110";
     53
    4454-- constantes pour le NoC                                                               
    4555 Constant NOC_GETPORTID                                                         : std_logic_vector(7 downto 0) := "00000001";
Note: See TracChangeset for help on using the changeset viewer.