Ignore:
Timestamp:
Jan 6, 2014, 3:16:44 PM (10 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v1.00/Packet_type.vhd

    r70 r72  
    3030 constant MPI_SPAWN                                                                     : std_logic_vector(3 downto 0) := "1001";
    3131 
    32  constant MPI_WINCREATE                                                         : std_logic_vector(3 downto 0) := "1010";
    33  constant MPI_WINCOMPLETE                                                       : std_logic_vector(3 downto 0) := "1011";
    34  constant MPI_WINPOST                                                           : std_logic_vector(3 downto 0) := "1100";
    35  constant MPI_WINWAIT                                                           : std_logic_vector(3 downto 0) := "1101";
     32 constant MPI_WIN_CREATE                                                                : std_logic_vector(3 downto 0) := "1010";
     33 constant MPI_WIN_SYNC       :std_logic_vector(3 downto 0):="1011";
     34 constant MPI_WIN_COMPLETE                                                      : std_logic_vector(3 downto 0) := "1100";
     35 constant MPI_WIN_POST                                                          : std_logic_vector(3 downto 0) := "1101";
     36 constant MPI_WIN_WAIT                                                          : std_logic_vector(3 downto 0) := "1110";
    3637 
    3738 
     
    5253 Constant SPAWN_ERR                                                                     : std_logic_vector(3 downto 0) := "1110";
    5354
     55Constant SYNC_WSTART                                                                    : std_logic_vector(3 downto 0) := "0001";
     56 Constant SYNC_WPOST                                                            : std_logic_vector(3 downto 0) := "0010";
     57 Constant SYNC_WCOMP                                                                    : std_logic_vector(3 downto 0) := "0011";
     58 Constant SYNC_WWAIT                                                                    : std_logic_vector(3 downto 0) := "0100";
    5459-- constantes pour le NoC                                                               
    5560 Constant NOC_GETPORTID                                                         : std_logic_vector(7 downto 0) := "00000001";
    56 
     61--constante pour les Ptr
     62constant W_Status :natural:=0; --position relative des champs dans la mémoire
     63constant W_Id :natural:=2;   -- pour le type MPI_Win
     64constant W_GStart :natural:=3;
     65constant W_GPost :natural:=5;
     66constant W_Addr :natural:=7;
     67constant W_Size :natural:=9;
     68constant W_comm :natural:=10;
    5769
    5870Type MPI_Datatype is (MPI_BYTE,MPI_INTEGER,MPI_REAL,MPI_PACKET, MPI_pointer);
     
    6678        AddrLib : std_logic_vector(15 downto 0); -- adresse dans la mémoire de la Lib
    6779                                                                                                                  -- des registres GStart et GPost
    68         C_open,C_close : std_logic;  -- état du communciateur (Inistialisé ou finalisé)
     80        ParentId:natural range 0 to 3; --Id du communicateur parent si Intercomm=1
     81        C_open,C_close,InterComm : std_logic;  -- état du communciateur (Inistialisé ou finalisé)
    6982end record;
    7083
     
    7588        Addr : std_logic_vector(15 downto 0); -- adresse dans la mémoire locale du PE
    7689        Size : natural range 0 to 65535; -- taille de la fenêtre en octets
    77        
    78         comm : MPI_COMM; -- communicateur associé à la fenêtre
     90        GPost : std_logic_vector(15 downto 0);--registres de synchronisation en cible
     91        GStart : std_logic_vector(15 downto 0);-- registre de synchronisation source
     92        comm : MPI_COMM; -- Ptr sur communicateur associé à la fenêtre
    7993end record;
    80 Type Mpi_group is record
     94Type Mpi_group is record  --normalement sous-goupe d'un communicateur
    8195        grp : std_logic_vector(15 downto 0); --( vecteur de la liste des processus du groupe)
    8296        nb : natural range 0 to 15; -- nombre de membres(processus du groupe)
Note: See TracChangeset for help on using the changeset viewer.