Ignore:
Timestamp:
Jan 6, 2014, 3:16:44 PM (10 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v1.00/CORE_MPI.vhd

    r70 r72  
    833833                                                        rdram<= exi_ram_rd;
    834834--      when "1000" => dma_rd_address <= <input4>;
    835       when others => dma_rd_address <= (others =>'-');
     835      when others => dma_rd_address <= (others =>'0');
    836836                                                        rdram<='0';
    837837   end case;
     
    850850                                                        weram<=ex4_ram_wr;
    851851                                                        Dma_data_in<=Dma_data_in4;
    852       when others => dma_wr_address <= (others =>'-');
     852      when others => dma_wr_address <= (others =>'0');
    853853                                                        weram<='0';
    854854   end case;
Note: See TracChangeset for help on using the changeset viewer.