Ignore:
Timestamp:
Jan 15, 2014, 2:40:01 AM (10 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v1.00/MPI_CORE_COMPONENTS.gise

    r72 r74  
    2323
    2424  <files xmlns="http://www.xilinx.com/XMLSchema">
     25    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="CORE_MPI.bld"/>
     26    <file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="CORE_MPI.cmd_log"/>
     27    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name="CORE_MPI.lso"/>
     28    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="CORE_MPI.ngc"/>
     29    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="CORE_MPI.ngd"/>
     30    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="CORE_MPI.ngr"/>
     31    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="CORE_MPI.prj"/>
    2532    <file xil_pn:fileType="FILE_SPL" xil_pn:name="CORE_MPI.spl"/>
     33    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="CORE_MPI.stx"/>
    2634    <file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="CORE_MPI.sym" xil_pn:origination="imported"/>
     35    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="CORE_MPI.syr"/>
     36    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="CORE_MPI.xst"/>
     37    <file xil_pn:fileType="FILE_HTML" xil_pn:name="CORE_MPI_envsettings.html"/>
    2738    <file xil_pn:fileType="FILE_NCD" xil_pn:name="CORE_MPI_guide.ncd" xil_pn:origination="imported"/>
     39    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="CORE_MPI_map.map" xil_pn:subbranch="Map"/>
     40    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="CORE_MPI_map.mrp" xil_pn:subbranch="Map"/>
     41    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGM" xil_pn:name="CORE_MPI_map.ngm" xil_pn:subbranch="Map"/>
     42    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="CORE_MPI_map.xrpt"/>
     43    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="CORE_MPI_ngdbuild.xrpt"/>
     44    <file xil_pn:fileType="FILE_HTML" xil_pn:name="CORE_MPI_summary.html"/>
     45    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="CORE_MPI_xst.xrpt"/>
    2846    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="Crossbar.bld"/>
    2947    <file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="Crossbar.cmd_log"/>
     
    147165    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="MultiMPITest_map.ncd" xil_pn:subbranch="Map"/>
    148166    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGM" xil_pn:name="MultiMPITest_map.ngm" xil_pn:subbranch="Map"/>
    149     <file xil_pn:fileType="FILE_XRPT" xil_pn:name="MultiMPITest_map.xrpt"/>
    150167    <file xil_pn:fileType="FILE_LOG" xil_pn:name="MultiMPITest_map_fpga_editor.log"/>
    151     <file xil_pn:fileType="FILE_XRPT" xil_pn:name="MultiMPITest_ngdbuild.xrpt"/>
    152168    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="MultiMPITest_pad.csv" xil_pn:subbranch="Par"/>
    153169    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_TXT_REPORT" xil_pn:name="MultiMPITest_pad.txt" xil_pn:subbranch="Par"/>
     
    157173    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="MultiMPITest_stx_beh.prj"/>
    158174    <file xil_pn:fileType="FILE_HTML" xil_pn:name="MultiMPITest_summary.html"/>
    159     <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="MultiMPITest_summary.xml"/>
    160     <file xil_pn:fileType="FILE_WEBTALK" xil_pn:name="MultiMPITest_usage.xml"/>
    161175    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="MultiMPITest_vhdl.prj"/>
    162176    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="MultiMPITest_xst.xrpt"/>
     
    292306
    293307  <transforms xmlns="http://www.xilinx.com/XMLSchema">
    294     <transform xil_pn:end_ts="1356687406" xil_pn:name="TRANEXT_compLibraries_FPGA" xil_pn:prop_ck="5489153583840594524" xil_pn:start_ts="1356687405">
    295       <status xil_pn:value="FailedRun"/>
    296       <status xil_pn:value="ReadyToRun"/>
    297       <status xil_pn:value="OutOfDateForProperties"/>
    298     </transform>
    299     <transform xil_pn:end_ts="1367007171" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1367007171">
    300       <status xil_pn:value="SuccessfullyRun"/>
    301       <status xil_pn:value="ReadyToRun"/>
    302     </transform>
    303     <transform xil_pn:end_ts="1389015637" xil_pn:in_ck="-2724970427296384327" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1389015636">
     308    <transform xil_pn:end_ts="1389570072" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1389570072">
     309      <status xil_pn:value="SuccessfullyRun"/>
     310      <status xil_pn:value="ReadyToRun"/>
     311    </transform>
     312    <transform xil_pn:end_ts="1389743130" xil_pn:in_ck="-2724970427296384327" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1389743129">
    304313      <status xil_pn:value="SuccessfullyRun"/>
    305314      <status xil_pn:value="ReadyToRun"/>
     
    367376      <outfile xil_pn:name="test_DMA.vhd"/>
    368377    </transform>
    369     <transform xil_pn:end_ts="1384950666" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="-8801908244967488165" xil_pn:start_ts="1384950666">
    370       <status xil_pn:value="SuccessfullyRun"/>
    371       <status xil_pn:value="ReadyToRun"/>
    372     </transform>
    373     <transform xil_pn:end_ts="1384950668" xil_pn:in_ck="-4314534165031354162" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="3275490455063375833" xil_pn:start_ts="1384950666">
    374       <status xil_pn:value="SuccessfullyRun"/>
    375       <status xil_pn:value="ReadyToRun"/>
    376     </transform>
    377     <transform xil_pn:end_ts="1375733793" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="-2670075927112038592" xil_pn:start_ts="1375733793">
    378       <status xil_pn:value="SuccessfullyRun"/>
    379       <status xil_pn:value="ReadyToRun"/>
    380     </transform>
    381     <transform xil_pn:end_ts="1389015637" xil_pn:in_ck="-2724970427296384327" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1389015637">
     378    <transform xil_pn:end_ts="1389570072" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="-8801908244967488165" xil_pn:start_ts="1389570072">
     379      <status xil_pn:value="SuccessfullyRun"/>
     380      <status xil_pn:value="ReadyToRun"/>
     381    </transform>
     382    <transform xil_pn:end_ts="1389570074" xil_pn:in_ck="-4314534165031354162" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="3275490455063375833" xil_pn:start_ts="1389570072">
     383      <status xil_pn:value="SuccessfullyRun"/>
     384      <status xil_pn:value="ReadyToRun"/>
     385    </transform>
     386    <transform xil_pn:end_ts="1389726825" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="7827306417472095804" xil_pn:start_ts="1389726825">
     387      <status xil_pn:value="SuccessfullyRun"/>
     388      <status xil_pn:value="ReadyToRun"/>
     389    </transform>
     390    <transform xil_pn:end_ts="1389743130" xil_pn:in_ck="-2724970427296384327" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1389743130">
    382391      <status xil_pn:value="SuccessfullyRun"/>
    383392      <status xil_pn:value="ReadyToRun"/>
     
    445454      <outfile xil_pn:name="test_DMA.vhd"/>
    446455    </transform>
    447     <transform xil_pn:end_ts="1389015668" xil_pn:in_ck="-2724970427296384327" xil_pn:name="TRAN_MSimulateBehavioralModel" xil_pn:prop_ck="-6667380628693525942" xil_pn:start_ts="1389015637">
     456    <transform xil_pn:end_ts="1389743164" xil_pn:in_ck="-2724970427296384327" xil_pn:name="TRAN_MSimulateBehavioralModel" xil_pn:prop_ck="-6667380628693525942" xil_pn:start_ts="1389743130">
    448457      <status xil_pn:value="SuccessfullyRun"/>
    449458      <status xil_pn:value="ReadyToRun"/>
     
    452461      <outfile xil_pn:name="work"/>
    453462    </transform>
    454     <transform xil_pn:end_ts="1354901662" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1354901662">
    455       <status xil_pn:value="SuccessfullyRun"/>
    456       <status xil_pn:value="ReadyToRun"/>
    457     </transform>
    458     <transform xil_pn:end_ts="1383365892" xil_pn:in_ck="-4314534165031354162" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-1582102620978348987" xil_pn:start_ts="1383365882">
    459       <status xil_pn:value="SuccessfullyRun"/>
    460       <status xil_pn:value="ReadyToRun"/>
    461     </transform>
    462     <transform xil_pn:end_ts="1375422910" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-2670075927112038592" xil_pn:start_ts="1375422910">
    463       <status xil_pn:value="SuccessfullyRun"/>
    464       <status xil_pn:value="ReadyToRun"/>
    465     </transform>
    466     <transform xil_pn:end_ts="1375399240" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1375399240">
    467       <status xil_pn:value="SuccessfullyRun"/>
    468       <status xil_pn:value="ReadyToRun"/>
    469     </transform>
    470     <transform xil_pn:end_ts="1375399240" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-8804766714685316537" xil_pn:start_ts="1375399240">
    471       <status xil_pn:value="SuccessfullyRun"/>
    472       <status xil_pn:value="ReadyToRun"/>
    473     </transform>
    474     <transform xil_pn:end_ts="1375422910" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="6952878091308752832" xil_pn:start_ts="1375422910">
    475       <status xil_pn:value="SuccessfullyRun"/>
    476       <status xil_pn:value="ReadyToRun"/>
    477     </transform>
    478     <transform xil_pn:end_ts="1375422910" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="8344791072052426826" xil_pn:start_ts="1375422910">
    479       <status xil_pn:value="SuccessfullyRun"/>
    480       <status xil_pn:value="ReadyToRun"/>
    481     </transform>
    482     <transform xil_pn:end_ts="1387623052" xil_pn:in_ck="-8697612743778259046" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="4800614739687910250" xil_pn:start_ts="1387622751">
     463    <transform xil_pn:end_ts="1389558632" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1389558632">
     464      <status xil_pn:value="SuccessfullyRun"/>
     465      <status xil_pn:value="ReadyToRun"/>
     466    </transform>
     467    <transform xil_pn:end_ts="1389723757" xil_pn:in_ck="-4314534165031354162" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-4817802592528555221" xil_pn:start_ts="1389723754">
     468      <status xil_pn:value="SuccessfullyRun"/>
     469      <status xil_pn:value="ReadyToRun"/>
     470    </transform>
     471    <transform xil_pn:end_ts="1389723757" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="7827306417472095804" xil_pn:start_ts="1389723757">
     472      <status xil_pn:value="SuccessfullyRun"/>
     473      <status xil_pn:value="ReadyToRun"/>
     474    </transform>
     475    <transform xil_pn:end_ts="1389723757" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1389723757">
     476      <status xil_pn:value="SuccessfullyRun"/>
     477      <status xil_pn:value="ReadyToRun"/>
     478    </transform>
     479    <transform xil_pn:end_ts="1389723757" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-1893960479894904659" xil_pn:start_ts="1389723757">
     480      <status xil_pn:value="SuccessfullyRun"/>
     481      <status xil_pn:value="ReadyToRun"/>
     482    </transform>
     483    <transform xil_pn:end_ts="1389723757" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="8977612015756273942" xil_pn:start_ts="1389723757">
     484      <status xil_pn:value="SuccessfullyRun"/>
     485      <status xil_pn:value="ReadyToRun"/>
     486    </transform>
     487    <transform xil_pn:end_ts="1389723757" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="-2122804370720789306" xil_pn:start_ts="1389723757">
     488      <status xil_pn:value="SuccessfullyRun"/>
     489      <status xil_pn:value="ReadyToRun"/>
     490    </transform>
     491    <transform xil_pn:end_ts="1389725470" xil_pn:in_ck="-8697612743778259046" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-7202030164265802586" xil_pn:start_ts="1389725338">
    483492      <status xil_pn:value="SuccessfullyRun"/>
    484493      <status xil_pn:value="WarningsGenerated"/>
     
    486495      <status xil_pn:value="OutOfDateForInputs"/>
    487496      <status xil_pn:value="InputChanged"/>
    488       <outfile xil_pn:name="Crossbar.ngr"/>
     497      <outfile xil_pn:name="CORE_MPI.lso"/>
     498      <outfile xil_pn:name="CORE_MPI.ngc"/>
     499      <outfile xil_pn:name="CORE_MPI.ngr"/>
     500      <outfile xil_pn:name="CORE_MPI.prj"/>
     501      <outfile xil_pn:name="CORE_MPI.stx"/>
     502      <outfile xil_pn:name="CORE_MPI.syr"/>
     503      <outfile xil_pn:name="CORE_MPI.xst"/>
     504      <outfile xil_pn:name="CORE_MPI_xst.xrpt"/>
    489505      <outfile xil_pn:name="DMA_ARBITER.ngr"/>
    490506      <outfile xil_pn:name="MPI_CORE_SCHEDULER.ngr"/>
    491       <outfile xil_pn:name="MultiMPITest.lso"/>
    492       <outfile xil_pn:name="MultiMPITest.ngc"/>
    493       <outfile xil_pn:name="MultiMPITest.ngr"/>
    494       <outfile xil_pn:name="MultiMPITest.prj"/>
    495       <outfile xil_pn:name="MultiMPITest.stx"/>
    496       <outfile xil_pn:name="MultiMPITest.syr"/>
    497       <outfile xil_pn:name="MultiMPITest.xst"/>
    498       <outfile xil_pn:name="MultiMPITest_stx_beh.prj"/>
    499       <outfile xil_pn:name="MultiMPITest_vhdl.prj"/>
    500       <outfile xil_pn:name="MultiMPITest_xst.xrpt"/>
    501       <outfile xil_pn:name="PE.ngr"/>
    502       <outfile xil_pn:name="SWITCH_GEN.ngr"/>
    503507      <outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
    504508      <outfile xil_pn:name="load_instr.ngr"/>
     
    506510      <outfile xil_pn:name="xst"/>
    507511    </transform>
    508     <transform xil_pn:end_ts="1387623052" xil_pn:in_ck="6885079285025204965" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="-4542759591300251492" xil_pn:start_ts="1387623052">
    509       <status xil_pn:value="SuccessfullyRun"/>
    510       <status xil_pn:value="ReadyToRun"/>
    511     </transform>
    512     <transform xil_pn:end_ts="1387623075" xil_pn:in_ck="3099115937148329492" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-1241333572523989429" xil_pn:start_ts="1387623052">
    513       <status xil_pn:value="SuccessfullyRun"/>
    514       <status xil_pn:value="WarningsGenerated"/>
    515       <status xil_pn:value="ReadyToRun"/>
     512    <transform xil_pn:end_ts="1389723997" xil_pn:in_ck="6885079285025204965" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="-3683379310506444734" xil_pn:start_ts="1389723997">
     513      <status xil_pn:value="SuccessfullyRun"/>
     514      <status xil_pn:value="ReadyToRun"/>
     515    </transform>
     516    <transform xil_pn:end_ts="1389724003" xil_pn:in_ck="-1005953262871376632" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="3081276958392942983" xil_pn:start_ts="1389723997">
     517      <status xil_pn:value="SuccessfullyRun"/>
     518      <status xil_pn:value="ReadyToRun"/>
     519      <status xil_pn:value="OutOfDateForInputs"/>
    516520      <status xil_pn:value="OutOfDateForPredecessor"/>
    517       <outfile xil_pn:name="MultiMPITest.bld"/>
    518       <outfile xil_pn:name="MultiMPITest.ngd"/>
    519       <outfile xil_pn:name="MultiMPITest_ngdbuild.xrpt"/>
     521      <status xil_pn:value="InputChanged"/>
     522      <outfile xil_pn:name="CORE_MPI.bld"/>
     523      <outfile xil_pn:name="CORE_MPI.ngd"/>
     524      <outfile xil_pn:name="CORE_MPI_ngdbuild.xrpt"/>
    520525      <outfile xil_pn:name="_ngo"/>
    521526      <outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
    522527    </transform>
    523     <transform xil_pn:end_ts="1387624011" xil_pn:in_ck="4998795473985982555" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="2137179975354236087" xil_pn:start_ts="1387623075">
    524       <status xil_pn:value="SuccessfullyRun"/>
    525       <status xil_pn:value="WarningsGenerated"/>
     528    <transform xil_pn:end_ts="1389724025" xil_pn:in_ck="4512930838117587152" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="4079613270754646221" xil_pn:start_ts="1389724003">
     529      <status xil_pn:value="FailedRun"/>
    526530      <status xil_pn:value="ReadyToRun"/>
    527531      <status xil_pn:value="OutOfDateForPredecessor"/>
    528       <outfile xil_pn:name="MultiMPITest.pcf"/>
    529       <outfile xil_pn:name="MultiMPITest_map.map"/>
    530       <outfile xil_pn:name="MultiMPITest_map.mrp"/>
    531       <outfile xil_pn:name="MultiMPITest_map.ncd"/>
    532       <outfile xil_pn:name="MultiMPITest_map.ngm"/>
    533       <outfile xil_pn:name="MultiMPITest_map.xrpt"/>
    534       <outfile xil_pn:name="MultiMPITest_summary.xml"/>
    535       <outfile xil_pn:name="MultiMPITest_usage.xml"/>
     532      <outfile xil_pn:name="CORE_MPI_map.map"/>
     533      <outfile xil_pn:name="CORE_MPI_map.mrp"/>
     534      <outfile xil_pn:name="CORE_MPI_map.ngm"/>
     535      <outfile xil_pn:name="CORE_MPI_map.xrpt"/>
    536536      <outfile xil_pn:name="_xmsgs/map.xmsgs"/>
    537537    </transform>
    538     <transform xil_pn:end_ts="1387653179" xil_pn:in_ck="-2175087184199880886" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="832869163894192598" xil_pn:start_ts="1387651992">
    539       <status xil_pn:value="SuccessfullyRun"/>
    540       <status xil_pn:value="WarningsGenerated"/>
    541       <status xil_pn:value="ReadyToRun"/>
    542       <status xil_pn:value="OutOfDateForPredecessor"/>
    543       <outfile xil_pn:name="MultiMPITest.ncd"/>
    544       <outfile xil_pn:name="MultiMPITest.pad"/>
    545       <outfile xil_pn:name="MultiMPITest.par"/>
    546       <outfile xil_pn:name="MultiMPITest.ptwx"/>
    547       <outfile xil_pn:name="MultiMPITest.unroutes"/>
    548       <outfile xil_pn:name="MultiMPITest.xpi"/>
    549       <outfile xil_pn:name="MultiMPITest_pad.csv"/>
    550       <outfile xil_pn:name="MultiMPITest_pad.txt"/>
    551       <outfile xil_pn:name="MultiMPITest_par.xrpt"/>
    552       <outfile xil_pn:name="_xmsgs/par.xmsgs"/>
    553     </transform>
    554     <transform xil_pn:end_ts="1387653179" xil_pn:in_ck="-5951230430360050753" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416185" xil_pn:start_ts="1387653109">
    555       <status xil_pn:value="SuccessfullyRun"/>
    556       <status xil_pn:value="ReadyToRun"/>
    557       <status xil_pn:value="OutOfDateForPredecessor"/>
    558       <outfile xil_pn:name="MultiMPITest.twr"/>
    559       <outfile xil_pn:name="MultiMPITest.twx"/>
    560       <outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
    561     </transform>
    562538  </transforms>
    563539
Note: See TracChangeset for help on using the changeset viewer.