Ignore:
Timestamp:
Jan 17, 2014, 5:04:00 PM (10 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v1.00/HT_process.vhd

    r74 r76  
    144144                                --Dcount<=0;
    145145                                if bfill=0 then -- si le nombre de bloc de mémoire remplis est vide
    146                                                 RunState<=Fillmem;
     146                                                RunState<=InitApp;
    147147                                 end if;
    148148                                 --Ram_busy<='0';
     
    185185                                                 
    186186                                                 if bfill=4 then
    187                                                   RunState<=InitApp;
     187                                                  RunState<=WinCreate;
    188188                                                 else
    189189                                                        RunState<=nextfill;
     
    212212                when InitApp =>
    213213                                --code pour Init
    214                                 dlen:=15;
     214                                dlen:=10;
    215215                                if ct=0 then
    216216                                -- synthesis translate_off
     
    251251                       
    252252                        if ct=0 then
    253                                 RunState<=WinCreate;
     253                                RunState<=Fillmem;
    254254                                -- synthesis translate_off
    255                                 write (l,string'("Dlen; ;Rank2 " &  integer'image(Dlen) & ";" & image(MyRank) & "; ended at  ; " & real'image(rt(now))));
     255                                write (l,string'("Dlen;"& integer'image(dlen) & ";Rank2 " &  integer'image(Dlen) & ";" & image(MyRank) & "; ended at  ; " & real'image(rt(now))));
    256256                                report l.all;
    257257            writeline (f, l) ;
     
    288288                        if ct=0 then
    289289                                -- synthesis translate_off
    290                                 write (l,string'("Dlen; ;WPost1 " &  integer'image(Dlen) & "; ; started  ; " & real'image(rt(now))));
     290                                write (l,string'("Dlen;"& integer'image(dlen) & ";WPost1 " &  integer'image(Dlen) & "; ; started  ; " & real'image(rt(now))));
    291291                                report l.all;
    292292            writeline (f, l) ; 
     
    299299                                RunState<=WinStart;
    300300                                -- synthesis translate_off
    301                                 write (l,string'("Dlen; ;WPost2 " &  integer'image(Dlen) & ";" & image(MyRank) & "; ended at  ; " & real'image(rt(now))));
     301                                write (l,string'("Dlen;"& integer'image(dlen) & ";WPost2 " &  integer'image(Dlen) & ";" & image(MyRank) & "; ended at  ; " & real'image(rt(now))));
    302302                                report l.all;
    303303            writeline (f, l) ;
     
    308308                        if ct=0 then
    309309                                -- synthesis translate_off
    310                                 write (l,string'("Dlen; ;WStart1 " &  integer'image(Dlen) & "; ; started  ; " & real'image(rt(now))));
     310                                write (l,string'("Dlen;" & integer'image(dlen) & ";WStart1 " &  integer'image(Dlen) & "; ; started  ; " & real'image(rt(now))));
    311311                                report l.all;
    312312            writeline (f, l) ; 
     
    322322                                RunState<=PutData;
    323323                                -- synthesis translate_off
    324                                 write (l,string'("Dlen; ;WStart2 " &  integer'image(Dlen) & ";" & image(MyRank) & "; ended at  ; " & real'image(rt(now))));
     324                                write (l,string'("Dlen;" & integer'image(dlen) & ";WStart2 " &  integer'image(Dlen) & ";" & image(MyRank) & "; ended at  ; " & real'image(rt(now))));
    325325                                report l.all;
    326326            writeline (f, l) ;
Note: See TracChangeset for help on using the changeset viewer.