Ignore:
Timestamp:
Jan 17, 2014, 5:04:00 PM (10 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v1.00/MultiMPITest.vhd

    r74 r76  
    2929use NocLib.CoreTypes.all;
    3030use work.Packet_type.all;
    31 
     31use work.Hcl_Arch_conf.all;
    3232USE ieee.numeric_std.ALL;
    3333 
     
    9090 
    9191BEGIN
    92  Xbar: MPI_NOC GENERIC MAP (NPROC=>PROC)
     92 Xbar: MPI_NOC GENERIC MAP (NPROC=>NOC_SIZE)
    9393                PORT MAP (
    9494          MPI_Node_in => MPI_Node_in,
     
    9696        );
    9797
    98 PE1: PE generic map (DestId=>0)
    99 Port Map (
    100 Instruction => MPi_Node_in(1).Instruction,
    101            Instruction_en => MPi_Node_in(1).Instruction_en,
    102                           Core_PushOut => MPi_Node_out(1).PushOut,
    103            clk =>clkm,
    104            reset =>reset,
    105                           CE => '1',
    106            Core_RAM_Data_Out =>MPi_Node_in(1).Ram_Data_out,
    107            Core_RAM_Data_IN => MPI_Node_out(1).ram_data_in,
    108            Core_RAM_WE => MPI_Node_out(1).ram_we,
    109            Core_RAM_EN => MPI_Node_out(1).ram_en,
    110           -- Core_RAM_ENB => MPI_Node_out(1).ram_en,
    111            Core_RAM_Address_Wr => MPI_Node_out(1).ram_address_wr,
    112            Core_RAM_Address_Rd => MPI_Node_out(1).ram_address_rd,
    113            Core_Hold_req => MPI_Node_out(1).hold_req,
    114            Core_Hold_Ack => MPI_Node_in(1).hold_ack
    115 );
    116 
    117 PE2: PE         Generic map (DestId=>1)
    118                         Port Map (
    119                                 Instruction => MPi_Node_in(2).Instruction,
    120            Instruction_en => MPi_Node_in(2).Instruction_en,
    121                           Core_PushOut => MPi_Node_out(2).PushOut,
    122            clk =>clkm,
    123            reset =>reset,
    124                           CE => '1',
    125            Core_RAM_Data_Out =>MPi_Node_in(2).Ram_Data_out,
    126            Core_RAM_Data_IN => MPI_Node_out(2).ram_data_in,
    127            Core_RAM_WE => MPI_Node_out(2).ram_we,
    128            Core_RAM_EN => MPI_Node_out(2).ram_en,
    129            --Core_RAM_ENB => MPI_Node_out(2).ram_en,
    130            Core_RAM_Address_Wr => MPI_Node_out(2).ram_address_wr,
    131            Core_RAM_Address_Rd => MPI_Node_out(2).ram_address_rd,
    132            Core_Hold_req => MPI_Node_out(2).hold_req,
    133            Core_Hold_Ack => MPI_Node_in(2).hold_ack
    134 );
     98--PE1: PE generic map (DestId=>0)
     99--Port Map (
     100--Instruction => MPi_Node_in(1).Instruction,
     101--           Instruction_en => MPi_Node_in(1).Instruction_en,
     102--                        Core_PushOut => MPi_Node_out(1).PushOut,
     103--           clk =>clkm,
     104--           reset =>reset,
     105--                        CE => '1',
     106--           Core_RAM_Data_Out =>MPi_Node_in(1).Ram_Data_out,
     107--           Core_RAM_Data_IN => MPI_Node_out(1).ram_data_in,
     108--           Core_RAM_WE => MPI_Node_out(1).ram_we,
     109--           Core_RAM_EN => MPI_Node_out(1).ram_en,
     110--          -- Core_RAM_ENB => MPI_Node_out(1).ram_en,
     111--           Core_RAM_Address_Wr => MPI_Node_out(1).ram_address_wr,
     112--           Core_RAM_Address_Rd => MPI_Node_out(1).ram_address_rd,
     113--           Core_Hold_req => MPI_Node_out(1).hold_req,
     114--           Core_Hold_Ack => MPI_Node_in(1).hold_ack
     115--);
     116--
     117--PE2: PE       Generic map (DestId=>1)
     118--                      Port Map (
     119--                              Instruction => MPi_Node_in(2).Instruction,
     120--           Instruction_en => MPi_Node_in(2).Instruction_en,
     121--                        Core_PushOut => MPi_Node_out(2).PushOut,
     122--           clk =>clkm,
     123--           reset =>reset,
     124--                        CE => '1',
     125--           Core_RAM_Data_Out =>MPi_Node_in(2).Ram_Data_out,
     126--           Core_RAM_Data_IN => MPI_Node_out(2).ram_data_in,
     127--           Core_RAM_WE => MPI_Node_out(2).ram_we,
     128--           Core_RAM_EN => MPI_Node_out(2).ram_en,
     129--           --Core_RAM_ENB => MPI_Node_out(2).ram_en,
     130--           Core_RAM_Address_Wr => MPI_Node_out(2).ram_address_wr,
     131--           Core_RAM_Address_Rd => MPI_Node_out(2).ram_address_rd,
     132--           Core_Hold_req => MPI_Node_out(2).hold_req,
     133--           Core_Hold_Ack => MPI_Node_in(2).hold_ack
     134--);
    135135--PE3: PE generic map (DestId=>2)
    136136--Port Map (
     
    170170--           Core_Hold_Ack => MPI_Node_in(4).hold_ack
    171171--);
    172 MPI_Node_in(1).reset<=reset;   
    173 MPI_Node_in(1).clk<=clkm;
    174 MPI_Node_in(2).reset<=reset;   
    175 MPI_Node_in(2).clk<=clkm;
     172--MPI_Node_in(1).reset<=reset; 
     173--MPI_Node_in(1).clk<=clkm;
     174--MPI_Node_in(2).reset<=reset; 
     175--MPI_Node_in(2).clk<=clkm;
    176176--MPI_Node_in(3).reset<=reset; 
    177177--MPI_Node_in(3).clk<=clkm;
     
    179179--MPI_Node_in(4).clk<=clkm;
    180180Result<=MPi_Node_out(1).PushOut;
    181 PE_Dyn:for i in 3 to 4 generate
    182 PE_i: PE        Generic map (DestId=>i-1)
     181PE_s:for i in 1 to STATIC_HT generate
     182S: PE   Generic map (DestId=>i-1)
     183                        Port Map (
     184                                Instruction => MPi_Node_in(i).Instruction,
     185           Instruction_en => MPi_Node_in(i).Instruction_en,
     186                          Core_PushOut => MPi_Node_out(i).PushOut,
     187           clk =>clkm,
     188           reset =>reset,
     189                          CE => '1',
     190           Core_RAM_Data_Out =>MPi_Node_in(i).Ram_Data_out,
     191           Core_RAM_Data_IN => MPI_Node_out(i).ram_data_in,
     192           Core_RAM_WE => MPI_Node_out(i).ram_we,
     193           Core_RAM_EN => MPI_Node_out(i).ram_en,
     194           --Core_RAM_ENB => MPI_Node_out(2).ram_en,
     195           Core_RAM_Address_Wr => MPI_Node_out(i).ram_address_wr,
     196           Core_RAM_Address_Rd => MPI_Node_out(i).ram_address_rd,
     197           Core_Hold_req => MPI_Node_out(i).hold_req,
     198           Core_Hold_Ack => MPI_Node_in(i).hold_ack
     199);
     200MPI_Node_in(i).reset<=reset;   
     201MPI_Node_in(i).clk<=clkm;
     202end generate PE_s;
     203dyn_HT: if dyn_allowed='1' generate
     204PE_D:for i in STATIC_HT+1 to NOC_SIZE generate
     205D: PE   Generic map (DestId=>i-1)
    183206                        Port Map (
    184207                                Instruction => MPi_Node_in(i).Instruction,
     
    200223MPI_Node_in(i).reset<=reset;   
    201224MPI_Node_in(i).clk<=clkm;
    202 end generate PE_Dyn;
     225end generate PE_D;
     226end generate dyn_HT;
    203227END;
Note: See TracChangeset for help on using the changeset viewer.