Ignore:
Timestamp:
Jan 15, 2014, 2:40:01 AM (11 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v1.00/MultiMPITest.vhd

    r70 r74  
    7070end Component; 
    7171 
    72         constant clk_period : time := 10 ns;
    73    constant PROC : positive :=8;
     72        constant clk_period : time := 15 ns;
     73   constant PROC : positive :=4;
    7474-- synthesis translate_off
    7575--===================signaux pour l'horloge ==============================
     
    133133           Core_Hold_Ack => MPI_Node_in(2).hold_ack
    134134);
    135 PE3: PE generic map (DestId=>2)
    136 Port Map (
    137 Instruction => MPi_Node_in(3).Instruction,
    138            Instruction_en => MPi_Node_in(3).Instruction_en,
    139                           Core_PushOut => MPi_Node_out(3).PushOut,
    140            clk =>clkm,
    141            reset =>reset,
    142                           CE => '1',
    143            Core_RAM_Data_Out =>MPi_Node_in(3).Ram_Data_out,
    144            Core_RAM_Data_IN => MPI_Node_out(3).ram_data_in,
    145            Core_RAM_WE => MPI_Node_out(3).ram_we,
    146            Core_RAM_EN => MPI_Node_out(3).ram_en,
    147           -- Core_RAM_ENB => MPI_Node_out(1).ram_en,
    148            Core_RAM_Address_Wr => MPI_Node_out(3).ram_address_wr,
    149            Core_RAM_Address_Rd => MPI_Node_out(3).ram_address_rd,
    150            Core_Hold_req => MPI_Node_out(3).hold_req,
    151            Core_Hold_Ack => MPI_Node_in(3).hold_ack
    152 );
    153 
    154 PE4: PE         Generic map (DestId=>3)
    155                         Port Map (
    156                                 Instruction => MPi_Node_in(4).Instruction,
    157            Instruction_en => MPi_Node_in(4).Instruction_en,
    158                           Core_PushOut => MPi_Node_out(4).PushOut,
    159            clk =>clkm,
    160            reset =>reset,
    161                           CE => '1',
    162            Core_RAM_Data_Out =>MPi_Node_in(4).Ram_Data_out,
    163            Core_RAM_Data_IN => MPI_Node_out(4).ram_data_in,
    164            Core_RAM_WE => MPI_Node_out(4).ram_we,
    165            Core_RAM_EN => MPI_Node_out(4).ram_en,
    166            --Core_RAM_ENB => MPI_Node_out(2).ram_en,
    167            Core_RAM_Address_Wr => MPI_Node_out(4).ram_address_wr,
    168            Core_RAM_Address_Rd => MPI_Node_out(4).ram_address_rd,
    169            Core_Hold_req => MPI_Node_out(4).hold_req,
    170            Core_Hold_Ack => MPI_Node_in(4).hold_ack
    171 );
     135--PE3: PE generic map (DestId=>2)
     136--Port Map (
     137--Instruction => MPi_Node_in(3).Instruction,
     138--           Instruction_en => MPi_Node_in(3).Instruction_en,
     139--                        Core_PushOut => MPi_Node_out(3).PushOut,
     140--           clk =>clkm,
     141--           reset =>reset,
     142--                        CE => '1',
     143--           Core_RAM_Data_Out =>MPi_Node_in(3).Ram_Data_out,
     144--           Core_RAM_Data_IN => MPI_Node_out(3).ram_data_in,
     145--           Core_RAM_WE => MPI_Node_out(3).ram_we,
     146--           Core_RAM_EN => MPI_Node_out(3).ram_en,
     147--          -- Core_RAM_ENB => MPI_Node_out(1).ram_en,
     148--           Core_RAM_Address_Wr => MPI_Node_out(3).ram_address_wr,
     149--           Core_RAM_Address_Rd => MPI_Node_out(3).ram_address_rd,
     150--           Core_Hold_req => MPI_Node_out(3).hold_req,
     151--           Core_Hold_Ack => MPI_Node_in(3).hold_ack
     152--);
     153--
     154--PE4: PE       Generic map (DestId=>3)
     155--                      Port Map (
     156--                              Instruction => MPi_Node_in(4).Instruction,
     157--           Instruction_en => MPi_Node_in(4).Instruction_en,
     158--                        Core_PushOut => MPi_Node_out(4).PushOut,
     159--           clk =>clkm,
     160--           reset =>reset,
     161--                        CE => '1',
     162--           Core_RAM_Data_Out =>MPi_Node_in(4).Ram_Data_out,
     163--           Core_RAM_Data_IN => MPI_Node_out(4).ram_data_in,
     164--           Core_RAM_WE => MPI_Node_out(4).ram_we,
     165--           Core_RAM_EN => MPI_Node_out(4).ram_en,
     166--           --Core_RAM_ENB => MPI_Node_out(2).ram_en,
     167--           Core_RAM_Address_Wr => MPI_Node_out(4).ram_address_wr,
     168--           Core_RAM_Address_Rd => MPI_Node_out(4).ram_address_rd,
     169--           Core_Hold_req => MPI_Node_out(4).hold_req,
     170--           Core_Hold_Ack => MPI_Node_in(4).hold_ack
     171--);
    172172MPI_Node_in(1).reset<=reset;   
    173173MPI_Node_in(1).clk<=clkm;
    174174MPI_Node_in(2).reset<=reset;   
    175175MPI_Node_in(2).clk<=clkm;
    176 MPI_Node_in(3).reset<=reset;   
    177 MPI_Node_in(3).clk<=clkm;
    178 MPI_Node_in(4).reset<=reset;   
    179 MPI_Node_in(4).clk<=clkm;
     176--MPI_Node_in(3).reset<=reset; 
     177--MPI_Node_in(3).clk<=clkm;
     178--MPI_Node_in(4).reset<=reset; 
     179--MPI_Node_in(4).clk<=clkm;
    180180Result<=MPi_Node_out(1).PushOut;
    181 PE_Dyn:for i in 5 to 6 generate
    182 PE_i: PE        Generic map (DestId=>i)
     181PE_Dyn:for i in 3 to 4 generate
     182PE_i: PE        Generic map (DestId=>i-1)
    183183                        Port Map (
    184184                                Instruction => MPi_Node_in(i).Instruction,
Note: See TracChangeset for help on using the changeset viewer.