source: trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.Synthesis @ 106

Last change on this file since 106 was 103, checked in by moulu, 15 years ago

1) write queue vhdl
2) bug fix : in generic queue

  • Property svn:keywords set to Id
File size: 3.8 KB
RevLine 
[2]1#
2# $Id: Makefile.Synthesis 103 2009-01-16 16:55:32Z moulu $
3#
[53]4# [ Description ]
[2]5#
6# Makefile
7#
8
[53]9#-----[ Variables ]----------------------------------------
[2]10
11DIR_VHDL                        = .
12DIR_WORK                        = work
13
14FPGA_CFG_FILE_LOCAL             = mkf.info
15FPGA_CFG_FILE_GLOBAL_DIR        = $(DIR_MORPHEO)/Behavioural
16FPGA_CFG_FILE_GLOBAL            = configure.mkf
17
[19]18FPGA_FILES                      = $(patsubst $(DIR_CFG_GEN)/%.cfg,%,$(wildcard $(DIR_CFG_GEN)/*.cfg))   \
19                                  $(patsubst $(DIR_CFG_USER)/%.cfg,%,$(wildcard $(DIR_CFG_USER)/*.cfg))
20
21FPGA_LOG_FILES                  = $(patsubst $(DIR_CFG_GEN)/%.cfg,$(DIR_LOG)/%.fpga.log,$(wildcard $(DIR_CFG_GEN)/*.cfg))       \
22                                  $(patsubst $(DIR_CFG_USER)/%.cfg,$(DIR_LOG)/%.fpga.log,$(wildcard $(DIR_CFG_USER)/*.cfg))
[53]23#-----[ Rules ]--------------------------------------------
[62]24.PRECIOUS                       : $(DIR_LOG)/%.vhdl.log $(DIR_LOG)/%.sim.log
[2]25
26vhdl                            : execute $(DIR_WORK)
[48]27                                @\
[15]28                                declare -a vhdl_files=($$($(LS) $(DIR_VHDL)/*_Pack.vhdl));                                              \
[2]29                                declare -a log_files=($${vhdl_files[*]/%.vhdl/.vhdl.log});                                              \
[48]30                                if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi;          \
[103]31                                declare -a vhdl_files=($$($(LS) $(DIR_VHDL)/*.vhdl|$(GREP_NOT) "(_Pack\.|_Testbench\.)"));              \
32                                declare -a log_files=($${vhdl_files[*]/%.vhdl/.vhdl.log});                                              \
33                                if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi;          \
[48]34                                declare -a vhdl_files=($$($(LS) $(DIR_VHDL)/*_Testbench.vhdl));                                         \
[2]35                                declare -a log_files=($${vhdl_files[*]/%.vhdl/.vhdl.log});                                              \
[48]36                                if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi;
[2]37
[103]38
[62]39sim                             : vhdl
[48]40                                @\
[15]41                                declare -a vhdl_files=($$($(LS) $(DIR_VHDL)/*_Testbench.vhdl));                                         \
[62]42                                declare -a log_files=($${vhdl_files[*]/%.vhdl/.sim.log});                                               \
[48]43                                if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi;
[2]44
[62]45fpga                            : sim
[48]46                                @\
47                                $(ECHO) -e "" > $(FPGA_CFG_FILE_LOCAL); \
48                                $(ECHO) "files :::::::: $(FPGA_FILES)"; \
49                                for file in $(FPGA_FILES); do                                                                           \
[2]50                                        declare -a files=($$($(LS) $$file*.vhdl|$(GREP_NOT) "(_Testbench\.)"));                         \
51                                        $(ECHO) -e "# $$file"                             >> $(FPGA_CFG_FILE_LOCAL);                    \
52                                        $(ECHO) -e "target_dep\tall\t$$file.ngc"          >> $(FPGA_CFG_FILE_LOCAL);                    \
53                                        $(ECHO) -e "target_dep\t$$file.ngc\t$$file.prj"   >> $(FPGA_CFG_FILE_LOCAL);                    \
54                                        $(ECHO) -e "target_dep\t$$file.prj\t$${files[*]}" >> $(FPGA_CFG_FILE_LOCAL);                    \
55                                        $(ECHO) -e ""                                     >> $(FPGA_CFG_FILE_LOCAL);                    \
[48]56                                done;                                   \
[96]57                                ($(XILINX_ENV); cd $(FPGA_CFG_FILE_GLOBAL_DIR); ./$(FPGA_CFG_FILE_GLOBAL));                             \
[48]58                                $(MAKE) $(FPGA_LOG_FILES);
[2]59
60$(DIR_LOG)/%.fpga.log           :
[48]61                                @\
62                                $(ECHO) "Synthetis on FPGA  : $*"; \
63                                $(XILINX_ENV); $(MAKE) -f Makefile.mkf $*.ngc &> $@;
[2]64
65$(DIR_WORK)                     :
[48]66                                @\
67                                $(ECHO) "Create work-space  : $@"; \
68                                $(MODELTECH_VLIB) $@;
[2]69
[62]70$(DIR_LOG)/%.sim.log            : $(DIR_VHDL)/%.vhdl $(DIR_LOG)/%.vhdl.log
[48]71                                @\
72                                $(ECHO) "VHDL's Simulation  : $*"; \
73                                $(MODELTECH_VSIM) "$(DIR_WORK).`$(BASENAME) $* |$(UPPERtoLOWER)`" &> $@; \
[42]74                                declare -i count=`$(GREP) -ch "Test OK" $@`;            \
75                                if $(TEST) $$count -ne 0;                               \
[2]76                                then echo "                     $* ... OK";             \
77                                else echo "                     $* ... KO"; exit 1;     \
78                                fi;
79
80$(DIR_LOG)/%.vhdl.log           : $(DIR_VHDL)/%.vhdl
[48]81                                @\
82                                $(ECHO) "VHDL's Compilation : $*"; \
83                                $(MODELTECH_VCOM) $< &> $@;
[2]84
85synthesis_clean                 :
[48]86                                @\
87                                if $(TEST) -f Makefile.mkf; then $(MAKE) -f Makefile.mkf clean; fi; \
[103]88                                $(RM) $(DIR_WORK) transcript Makefile.mkf *.wlf;
[2]89
[88]90synthesis_clean_all             : synthesis_clean
91
[2]92synthesis_help                  :
[48]93                                @\
[53]94                                $(ECHO) " -----[ Synthesis ]----------------------------------";\
[48]95                                $(ECHO) "";\
96                                $(ECHO) " * vhdl                 : compile all vhdl's file";\
[62]97                                $(ECHO) " * sim                  : simulate all testbench's file";\
[48]98                                $(ECHO) " * fpga                 : synthetis with fpga's tools";\
99                                $(ECHO) "";
Note: See TracBrowser for help on using the repository browser.