Ignore:
Timestamp:
Apr 5, 2007, 4:17:30 PM (17 years ago)
Author:
rosiere
Message:

Interface normalisé
Début du banc de registres multi niveaux

Location:
trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor
Files:
38 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/Makefile.deps

    r2 r15  
    1414include                         $(DIR_MORPHEO)/Behavioural/Generic/Shifter/Makefile.deps
    1515endif
    16 ifndef RegisterFile
    17 include                         $(DIR_MORPHEO)/Behavioural/Generic/RegisterFile/Makefile.deps
     16ifndef RegisterFile_Monolithic
     17include                         $(DIR_MORPHEO)/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/Makefile.deps
    1818endif
    1919
     
    2222#-----[ Library ]------------------------------------------
    2323Branch_History_Table_LIBRARY            =       -lBranch_History_Table  \
    24                                                 $(RegisterFile_LIBRARY) \
     24                                                $(RegisterFile_Monolithic_LIBRARY)      \
    2525                                                $(Shifter_LIBRARY)      \
    2626                                                $(Behavioural_LIBRARY) 
    2727
    2828Branch_History_Table_DIR_LIBRARY        =       -L$(DIR_MORPHEO)/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/lib        \
    29                                                 $(RegisterFile_DIR_LIBRARY)     \
     29                                                $(RegisterFile_Monolithic_DIR_LIBRARY)  \
    3030                                                $(Shifter_DIR_LIBRARY)          \
    3131                                                $(Behavioural_DIR_LIBRARY)
     
    3636                                        @$(MAKE) Behavioural_library
    3737                                        @$(MAKE) Shifter_library
    38                                         @$(MAKE) RegisterFile_library
     38                                        @$(MAKE) RegisterFile_Monolithic_library
    3939                                        @$(MAKE) --directory=$(DIR_MORPHEO)/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table --makefile=Makefile
    4040
     
    4242                                        @$(MAKE) Behavioural_library_clean
    4343                                        @$(MAKE) Shifter_library_clean
    44                                         @$(MAKE) RegisterFile_library_clean
     44                                        @$(MAKE) RegisterFile_Monolithic_library_clean
    4545                                        @$(MAKE) --directory=$(DIR_MORPHEO)/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table --makefile=Makefile clean
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/SelfTest/mkf.info

    r2 r15  
    1 
    2 # Branch_History_Table_0
    3 target_dep      all     Branch_History_Table_0.ngc
    4 target_dep      Branch_History_Table_0.ngc      Branch_History_Table_0.prj
    5 target_dep      Branch_History_Table_0.prj      Branch_History_Table_0_Pack.vhdl Branch_History_Table_0_RegisterFile_Pack.vhdl Branch_History_Table_0_RegisterFile.vhdl Branch_History_Table_0_Shifter_Pack.vhdl Branch_History_Table_0_Shifter.vhdl Branch_History_Table_0.vhdl
    6 
    7 # Branch_History_Table_10
    8 target_dep      all     Branch_History_Table_10.ngc
    9 target_dep      Branch_History_Table_10.ngc     Branch_History_Table_10.prj
    10 target_dep      Branch_History_Table_10.prj     Branch_History_Table_10_Pack.vhdl Branch_History_Table_10_RegisterFile_Pack.vhdl Branch_History_Table_10_RegisterFile.vhdl Branch_History_Table_10_Shifter_Pack.vhdl Branch_History_Table_10_Shifter.vhdl Branch_History_Table_10.vhdl
    11 
    12 # Branch_History_Table_11
    13 target_dep      all     Branch_History_Table_11.ngc
    14 target_dep      Branch_History_Table_11.ngc     Branch_History_Table_11.prj
    15 target_dep      Branch_History_Table_11.prj     Branch_History_Table_11_Pack.vhdl Branch_History_Table_11_RegisterFile_Pack.vhdl Branch_History_Table_11_RegisterFile.vhdl Branch_History_Table_11_Shifter_Pack.vhdl Branch_History_Table_11_Shifter.vhdl Branch_History_Table_11.vhdl
    16 
    17 # Branch_History_Table_12
    18 target_dep      all     Branch_History_Table_12.ngc
    19 target_dep      Branch_History_Table_12.ngc     Branch_History_Table_12.prj
    20 target_dep      Branch_History_Table_12.prj     Branch_History_Table_12_Pack.vhdl Branch_History_Table_12_RegisterFile_Pack.vhdl Branch_History_Table_12_RegisterFile.vhdl Branch_History_Table_12_Shifter_Pack.vhdl Branch_History_Table_12_Shifter.vhdl Branch_History_Table_12.vhdl
    21 
    22 # Branch_History_Table_13
    23 target_dep      all     Branch_History_Table_13.ngc
    24 target_dep      Branch_History_Table_13.ngc     Branch_History_Table_13.prj
    25 target_dep      Branch_History_Table_13.prj     Branch_History_Table_13_Pack.vhdl Branch_History_Table_13_RegisterFile_Pack.vhdl Branch_History_Table_13_RegisterFile.vhdl Branch_History_Table_13_Shifter_Pack.vhdl Branch_History_Table_13_Shifter.vhdl Branch_History_Table_13.vhdl
    26 
    27 # Branch_History_Table_14
    28 target_dep      all     Branch_History_Table_14.ngc
    29 target_dep      Branch_History_Table_14.ngc     Branch_History_Table_14.prj
    30 target_dep      Branch_History_Table_14.prj     Branch_History_Table_14_Pack.vhdl Branch_History_Table_14_RegisterFile_Pack.vhdl Branch_History_Table_14_RegisterFile.vhdl Branch_History_Table_14_Shifter_Pack.vhdl Branch_History_Table_14_Shifter.vhdl Branch_History_Table_14.vhdl
    31 
    32 # Branch_History_Table_15
    33 target_dep      all     Branch_History_Table_15.ngc
    34 target_dep      Branch_History_Table_15.ngc     Branch_History_Table_15.prj
    35 target_dep      Branch_History_Table_15.prj     Branch_History_Table_15_Pack.vhdl Branch_History_Table_15_RegisterFile_Pack.vhdl Branch_History_Table_15_RegisterFile.vhdl Branch_History_Table_15_Shifter_Pack.vhdl Branch_History_Table_15_Shifter.vhdl Branch_History_Table_15.vhdl
    36 
    37 # Branch_History_Table_16
    38 target_dep      all     Branch_History_Table_16.ngc
    39 target_dep      Branch_History_Table_16.ngc     Branch_History_Table_16.prj
    40 target_dep      Branch_History_Table_16.prj     Branch_History_Table_16_Pack.vhdl Branch_History_Table_16_RegisterFile_Pack.vhdl Branch_History_Table_16_RegisterFile.vhdl Branch_History_Table_16_Shifter_Pack.vhdl Branch_History_Table_16_Shifter.vhdl Branch_History_Table_16.vhdl
    41 
    42 # Branch_History_Table_17
    43 target_dep      all     Branch_History_Table_17.ngc
    44 target_dep      Branch_History_Table_17.ngc     Branch_History_Table_17.prj
    45 target_dep      Branch_History_Table_17.prj     Branch_History_Table_17_Pack.vhdl Branch_History_Table_17_RegisterFile_Pack.vhdl Branch_History_Table_17_RegisterFile.vhdl Branch_History_Table_17_Shifter_Pack.vhdl Branch_History_Table_17_Shifter.vhdl Branch_History_Table_17.vhdl
    46 
    47 # Branch_History_Table_18
    48 target_dep      all     Branch_History_Table_18.ngc
    49 target_dep      Branch_History_Table_18.ngc     Branch_History_Table_18.prj
    50 target_dep      Branch_History_Table_18.prj     Branch_History_Table_18_Pack.vhdl Branch_History_Table_18_RegisterFile_Pack.vhdl Branch_History_Table_18_RegisterFile.vhdl Branch_History_Table_18_Shifter_Pack.vhdl Branch_History_Table_18_Shifter.vhdl Branch_History_Table_18.vhdl
    51 
    52 # Branch_History_Table_19
    53 target_dep      all     Branch_History_Table_19.ngc
    54 target_dep      Branch_History_Table_19.ngc     Branch_History_Table_19.prj
    55 target_dep      Branch_History_Table_19.prj     Branch_History_Table_19_Pack.vhdl Branch_History_Table_19_RegisterFile_Pack.vhdl Branch_History_Table_19_RegisterFile.vhdl Branch_History_Table_19_Shifter_Pack.vhdl Branch_History_Table_19_Shifter.vhdl Branch_History_Table_19.vhdl
    56 
    57 # Branch_History_Table_1
    58 target_dep      all     Branch_History_Table_1.ngc
    59 target_dep      Branch_History_Table_1.ngc      Branch_History_Table_1.prj
    60 target_dep      Branch_History_Table_1.prj      Branch_History_Table_10_Pack.vhdl Branch_History_Table_10_RegisterFile_Pack.vhdl Branch_History_Table_10_RegisterFile.vhdl Branch_History_Table_10_Shifter_Pack.vhdl Branch_History_Table_10_Shifter.vhdl Branch_History_Table_10.vhdl Branch_History_Table_11_Pack.vhdl Branch_History_Table_11_RegisterFile_Pack.vhdl Branch_History_Table_11_RegisterFile.vhdl Branch_History_Table_11_Shifter_Pack.vhdl Branch_History_Table_11_Shifter.vhdl Branch_History_Table_11.vhdl Branch_History_Table_12_Pack.vhdl Branch_History_Table_12_RegisterFile_Pack.vhdl Branch_History_Table_12_RegisterFile.vhdl Branch_History_Table_12_Shifter_Pack.vhdl Branch_History_Table_12_Shifter.vhdl Branch_History_Table_12.vhdl Branch_History_Table_13_Pack.vhdl Branch_History_Table_13_RegisterFile_Pack.vhdl Branch_History_Table_13_RegisterFile.vhdl Branch_History_Table_13_Shifter_Pack.vhdl Branch_History_Table_13_Shifter.vhdl Branch_History_Table_13.vhdl Branch_History_Table_14_Pack.vhdl Branch_History_Table_14_RegisterFile_Pack.vhdl Branch_History_Table_14_RegisterFile.vhdl Branch_History_Table_14_Shifter_Pack.vhdl Branch_History_Table_14_Shifter.vhdl Branch_History_Table_14.vhdl Branch_History_Table_15_Pack.vhdl Branch_History_Table_15_RegisterFile_Pack.vhdl Branch_History_Table_15_RegisterFile.vhdl Branch_History_Table_15_Shifter_Pack.vhdl Branch_History_Table_15_Shifter.vhdl Branch_History_Table_15.vhdl Branch_History_Table_16_Pack.vhdl Branch_History_Table_16_RegisterFile_Pack.vhdl Branch_History_Table_16_RegisterFile.vhdl Branch_History_Table_16_Shifter_Pack.vhdl Branch_History_Table_16_Shifter.vhdl Branch_History_Table_16.vhdl Branch_History_Table_17_Pack.vhdl Branch_History_Table_17_RegisterFile_Pack.vhdl Branch_History_Table_17_RegisterFile.vhdl Branch_History_Table_17_Shifter_Pack.vhdl Branch_History_Table_17_Shifter.vhdl Branch_History_Table_17.vhdl Branch_History_Table_18_Pack.vhdl Branch_History_Table_18_RegisterFile_Pack.vhdl Branch_History_Table_18_RegisterFile.vhdl Branch_History_Table_18_Shifter_Pack.vhdl Branch_History_Table_18_Shifter.vhdl Branch_History_Table_18.vhdl Branch_History_Table_19_Pack.vhdl Branch_History_Table_19_RegisterFile_Pack.vhdl Branch_History_Table_19_RegisterFile.vhdl Branch_History_Table_19_Shifter_Pack.vhdl Branch_History_Table_19_Shifter.vhdl Branch_History_Table_19.vhdl Branch_History_Table_1_Pack.vhdl Branch_History_Table_1_RegisterFile_Pack.vhdl Branch_History_Table_1_RegisterFile.vhdl Branch_History_Table_1_Shifter_Pack.vhdl Branch_History_Table_1_Shifter.vhdl Branch_History_Table_1.vhdl
    61 
    62 # Branch_History_Table_20
    63 target_dep      all     Branch_History_Table_20.ngc
    64 target_dep      Branch_History_Table_20.ngc     Branch_History_Table_20.prj
    65 target_dep      Branch_History_Table_20.prj     Branch_History_Table_20_Pack.vhdl Branch_History_Table_20_RegisterFile_Pack.vhdl Branch_History_Table_20_RegisterFile.vhdl Branch_History_Table_20_Shifter_Pack.vhdl Branch_History_Table_20_Shifter.vhdl Branch_History_Table_20.vhdl
    66 
    67 # Branch_History_Table_21
    68 target_dep      all     Branch_History_Table_21.ngc
    69 target_dep      Branch_History_Table_21.ngc     Branch_History_Table_21.prj
    70 target_dep      Branch_History_Table_21.prj     Branch_History_Table_21_Pack.vhdl Branch_History_Table_21_RegisterFile_Pack.vhdl Branch_History_Table_21_RegisterFile.vhdl Branch_History_Table_21_Shifter_Pack.vhdl Branch_History_Table_21_Shifter.vhdl Branch_History_Table_21.vhdl
    71 
    72 # Branch_History_Table_22
    73 target_dep      all     Branch_History_Table_22.ngc
    74 target_dep      Branch_History_Table_22.ngc     Branch_History_Table_22.prj
    75 target_dep      Branch_History_Table_22.prj     Branch_History_Table_22_Pack.vhdl Branch_History_Table_22_RegisterFile_Pack.vhdl Branch_History_Table_22_RegisterFile.vhdl Branch_History_Table_22_Shifter_Pack.vhdl Branch_History_Table_22_Shifter.vhdl Branch_History_Table_22.vhdl
    76 
    77 # Branch_History_Table_23
    78 target_dep      all     Branch_History_Table_23.ngc
    79 target_dep      Branch_History_Table_23.ngc     Branch_History_Table_23.prj
    80 target_dep      Branch_History_Table_23.prj     Branch_History_Table_23_Pack.vhdl Branch_History_Table_23_RegisterFile_Pack.vhdl Branch_History_Table_23_RegisterFile.vhdl Branch_History_Table_23_Shifter_Pack.vhdl Branch_History_Table_23_Shifter.vhdl Branch_History_Table_23.vhdl
    81 
    82 # Branch_History_Table_24
    83 target_dep      all     Branch_History_Table_24.ngc
    84 target_dep      Branch_History_Table_24.ngc     Branch_History_Table_24.prj
    85 target_dep      Branch_History_Table_24.prj     Branch_History_Table_24_Pack.vhdl Branch_History_Table_24_RegisterFile_Pack.vhdl Branch_History_Table_24_RegisterFile.vhdl Branch_History_Table_24_Shifter_Pack.vhdl Branch_History_Table_24_Shifter.vhdl Branch_History_Table_24.vhdl
    86 
    87 # Branch_History_Table_25
    88 target_dep      all     Branch_History_Table_25.ngc
    89 target_dep      Branch_History_Table_25.ngc     Branch_History_Table_25.prj
    90 target_dep      Branch_History_Table_25.prj     Branch_History_Table_25_Pack.vhdl Branch_History_Table_25_RegisterFile_Pack.vhdl Branch_History_Table_25_RegisterFile.vhdl Branch_History_Table_25_Shifter_Pack.vhdl Branch_History_Table_25_Shifter.vhdl Branch_History_Table_25.vhdl
    91 
    92 # Branch_History_Table_26
    93 target_dep      all     Branch_History_Table_26.ngc
    94 target_dep      Branch_History_Table_26.ngc     Branch_History_Table_26.prj
    95 target_dep      Branch_History_Table_26.prj     Branch_History_Table_26_Pack.vhdl Branch_History_Table_26_RegisterFile_Pack.vhdl Branch_History_Table_26_RegisterFile.vhdl Branch_History_Table_26_Shifter_Pack.vhdl Branch_History_Table_26_Shifter.vhdl Branch_History_Table_26.vhdl
    96 
    97 # Branch_History_Table_27
    98 target_dep      all     Branch_History_Table_27.ngc
    99 target_dep      Branch_History_Table_27.ngc     Branch_History_Table_27.prj
    100 target_dep      Branch_History_Table_27.prj     Branch_History_Table_27_Pack.vhdl Branch_History_Table_27_RegisterFile_Pack.vhdl Branch_History_Table_27_RegisterFile.vhdl Branch_History_Table_27_Shifter_Pack.vhdl Branch_History_Table_27_Shifter.vhdl Branch_History_Table_27.vhdl
    101 
    102 # Branch_History_Table_28
    103 target_dep      all     Branch_History_Table_28.ngc
    104 target_dep      Branch_History_Table_28.ngc     Branch_History_Table_28.prj
    105 target_dep      Branch_History_Table_28.prj     Branch_History_Table_28_Pack.vhdl Branch_History_Table_28_RegisterFile_Pack.vhdl Branch_History_Table_28_RegisterFile.vhdl Branch_History_Table_28_Shifter_Pack.vhdl Branch_History_Table_28_Shifter.vhdl Branch_History_Table_28.vhdl
    106 
    107 # Branch_History_Table_29
    108 target_dep      all     Branch_History_Table_29.ngc
    109 target_dep      Branch_History_Table_29.ngc     Branch_History_Table_29.prj
    110 target_dep      Branch_History_Table_29.prj     Branch_History_Table_29_Pack.vhdl Branch_History_Table_29_RegisterFile_Pack.vhdl Branch_History_Table_29_RegisterFile.vhdl Branch_History_Table_29_Shifter_Pack.vhdl Branch_History_Table_29_Shifter.vhdl Branch_History_Table_29.vhdl
    111 
    112 # Branch_History_Table_2
    113 target_dep      all     Branch_History_Table_2.ngc
    114 target_dep      Branch_History_Table_2.ngc      Branch_History_Table_2.prj
    115 target_dep      Branch_History_Table_2.prj      Branch_History_Table_20_Pack.vhdl Branch_History_Table_20_RegisterFile_Pack.vhdl Branch_History_Table_20_RegisterFile.vhdl Branch_History_Table_20_Shifter_Pack.vhdl Branch_History_Table_20_Shifter.vhdl Branch_History_Table_20.vhdl Branch_History_Table_21_Pack.vhdl Branch_History_Table_21_RegisterFile_Pack.vhdl Branch_History_Table_21_RegisterFile.vhdl Branch_History_Table_21_Shifter_Pack.vhdl Branch_History_Table_21_Shifter.vhdl Branch_History_Table_21.vhdl Branch_History_Table_22_Pack.vhdl Branch_History_Table_22_RegisterFile_Pack.vhdl Branch_History_Table_22_RegisterFile.vhdl Branch_History_Table_22_Shifter_Pack.vhdl Branch_History_Table_22_Shifter.vhdl Branch_History_Table_22.vhdl Branch_History_Table_23_Pack.vhdl Branch_History_Table_23_RegisterFile_Pack.vhdl Branch_History_Table_23_RegisterFile.vhdl Branch_History_Table_23_Shifter_Pack.vhdl Branch_History_Table_23_Shifter.vhdl Branch_History_Table_23.vhdl Branch_History_Table_24_Pack.vhdl Branch_History_Table_24_RegisterFile_Pack.vhdl Branch_History_Table_24_RegisterFile.vhdl Branch_History_Table_24_Shifter_Pack.vhdl Branch_History_Table_24_Shifter.vhdl Branch_History_Table_24.vhdl Branch_History_Table_25_Pack.vhdl Branch_History_Table_25_RegisterFile_Pack.vhdl Branch_History_Table_25_RegisterFile.vhdl Branch_History_Table_25_Shifter_Pack.vhdl Branch_History_Table_25_Shifter.vhdl Branch_History_Table_25.vhdl Branch_History_Table_26_Pack.vhdl Branch_History_Table_26_RegisterFile_Pack.vhdl Branch_History_Table_26_RegisterFile.vhdl Branch_History_Table_26_Shifter_Pack.vhdl Branch_History_Table_26_Shifter.vhdl Branch_History_Table_26.vhdl Branch_History_Table_27_Pack.vhdl Branch_History_Table_27_RegisterFile_Pack.vhdl Branch_History_Table_27_RegisterFile.vhdl Branch_History_Table_27_Shifter_Pack.vhdl Branch_History_Table_27_Shifter.vhdl Branch_History_Table_27.vhdl Branch_History_Table_28_Pack.vhdl Branch_History_Table_28_RegisterFile_Pack.vhdl Branch_History_Table_28_RegisterFile.vhdl Branch_History_Table_28_Shifter_Pack.vhdl Branch_History_Table_28_Shifter.vhdl Branch_History_Table_28.vhdl Branch_History_Table_29_Pack.vhdl Branch_History_Table_29_RegisterFile_Pack.vhdl Branch_History_Table_29_RegisterFile.vhdl Branch_History_Table_29_Shifter_Pack.vhdl Branch_History_Table_29_Shifter.vhdl Branch_History_Table_29.vhdl Branch_History_Table_2_Pack.vhdl Branch_History_Table_2_RegisterFile_Pack.vhdl Branch_History_Table_2_RegisterFile.vhdl Branch_History_Table_2_Shifter_Pack.vhdl Branch_History_Table_2_Shifter.vhdl Branch_History_Table_2.vhdl
    116 
    117 # Branch_History_Table_30
    118 target_dep      all     Branch_History_Table_30.ngc
    119 target_dep      Branch_History_Table_30.ngc     Branch_History_Table_30.prj
    120 target_dep      Branch_History_Table_30.prj     Branch_History_Table_30_Pack.vhdl Branch_History_Table_30_RegisterFile_Pack.vhdl Branch_History_Table_30_RegisterFile.vhdl Branch_History_Table_30_Shifter_Pack.vhdl Branch_History_Table_30_Shifter.vhdl Branch_History_Table_30.vhdl
    121 
    122 # Branch_History_Table_31
    123 target_dep      all     Branch_History_Table_31.ngc
    124 target_dep      Branch_History_Table_31.ngc     Branch_History_Table_31.prj
    125 target_dep      Branch_History_Table_31.prj     Branch_History_Table_31_Pack.vhdl Branch_History_Table_31_RegisterFile_Pack.vhdl Branch_History_Table_31_RegisterFile.vhdl Branch_History_Table_31_Shifter_Pack.vhdl Branch_History_Table_31_Shifter.vhdl Branch_History_Table_31.vhdl
    126 
    127 # Branch_History_Table_32
    128 target_dep      all     Branch_History_Table_32.ngc
    129 target_dep      Branch_History_Table_32.ngc     Branch_History_Table_32.prj
    130 target_dep      Branch_History_Table_32.prj     Branch_History_Table_32_Pack.vhdl Branch_History_Table_32_RegisterFile_Pack.vhdl Branch_History_Table_32_RegisterFile.vhdl Branch_History_Table_32_Shifter_Pack.vhdl Branch_History_Table_32_Shifter.vhdl Branch_History_Table_32.vhdl
    131 
    132 # Branch_History_Table_33
    133 target_dep      all     Branch_History_Table_33.ngc
    134 target_dep      Branch_History_Table_33.ngc     Branch_History_Table_33.prj
    135 target_dep      Branch_History_Table_33.prj     Branch_History_Table_33_Pack.vhdl Branch_History_Table_33_RegisterFile_Pack.vhdl Branch_History_Table_33_RegisterFile.vhdl Branch_History_Table_33_Shifter_Pack.vhdl Branch_History_Table_33_Shifter.vhdl Branch_History_Table_33.vhdl
    136 
    137 # Branch_History_Table_34
    138 target_dep      all     Branch_History_Table_34.ngc
    139 target_dep      Branch_History_Table_34.ngc     Branch_History_Table_34.prj
    140 target_dep      Branch_History_Table_34.prj     Branch_History_Table_34_Pack.vhdl Branch_History_Table_34_RegisterFile_Pack.vhdl Branch_History_Table_34_RegisterFile.vhdl Branch_History_Table_34_Shifter_Pack.vhdl Branch_History_Table_34_Shifter.vhdl Branch_History_Table_34.vhdl
    141 
    142 # Branch_History_Table_35
    143 target_dep      all     Branch_History_Table_35.ngc
    144 target_dep      Branch_History_Table_35.ngc     Branch_History_Table_35.prj
    145 target_dep      Branch_History_Table_35.prj     Branch_History_Table_35_Pack.vhdl Branch_History_Table_35_RegisterFile_Pack.vhdl Branch_History_Table_35_RegisterFile.vhdl Branch_History_Table_35_Shifter_Pack.vhdl Branch_History_Table_35_Shifter.vhdl Branch_History_Table_35.vhdl
    146 
    147 # Branch_History_Table_3
    148 target_dep      all     Branch_History_Table_3.ngc
    149 target_dep      Branch_History_Table_3.ngc      Branch_History_Table_3.prj
    150 target_dep      Branch_History_Table_3.prj      Branch_History_Table_30_Pack.vhdl Branch_History_Table_30_RegisterFile_Pack.vhdl Branch_History_Table_30_RegisterFile.vhdl Branch_History_Table_30_Shifter_Pack.vhdl Branch_History_Table_30_Shifter.vhdl Branch_History_Table_30.vhdl Branch_History_Table_31_Pack.vhdl Branch_History_Table_31_RegisterFile_Pack.vhdl Branch_History_Table_31_RegisterFile.vhdl Branch_History_Table_31_Shifter_Pack.vhdl Branch_History_Table_31_Shifter.vhdl Branch_History_Table_31.vhdl Branch_History_Table_32_Pack.vhdl Branch_History_Table_32_RegisterFile_Pack.vhdl Branch_History_Table_32_RegisterFile.vhdl Branch_History_Table_32_Shifter_Pack.vhdl Branch_History_Table_32_Shifter.vhdl Branch_History_Table_32.vhdl Branch_History_Table_33_Pack.vhdl Branch_History_Table_33_RegisterFile_Pack.vhdl Branch_History_Table_33_RegisterFile.vhdl Branch_History_Table_33_Shifter_Pack.vhdl Branch_History_Table_33_Shifter.vhdl Branch_History_Table_33.vhdl Branch_History_Table_34_Pack.vhdl Branch_History_Table_34_RegisterFile_Pack.vhdl Branch_History_Table_34_RegisterFile.vhdl Branch_History_Table_34_Shifter_Pack.vhdl Branch_History_Table_34_Shifter.vhdl Branch_History_Table_34.vhdl Branch_History_Table_35_Pack.vhdl Branch_History_Table_35_RegisterFile_Pack.vhdl Branch_History_Table_35_RegisterFile.vhdl Branch_History_Table_35_Shifter_Pack.vhdl Branch_History_Table_35_Shifter.vhdl Branch_History_Table_35.vhdl Branch_History_Table_3_Pack.vhdl Branch_History_Table_3_RegisterFile_Pack.vhdl Branch_History_Table_3_RegisterFile.vhdl Branch_History_Table_3_Shifter_Pack.vhdl Branch_History_Table_3_Shifter.vhdl Branch_History_Table_3.vhdl
    151 
    152 # Branch_History_Table_4
    153 target_dep      all     Branch_History_Table_4.ngc
    154 target_dep      Branch_History_Table_4.ngc      Branch_History_Table_4.prj
    155 target_dep      Branch_History_Table_4.prj      Branch_History_Table_4_Pack.vhdl Branch_History_Table_4_RegisterFile_Pack.vhdl Branch_History_Table_4_RegisterFile.vhdl Branch_History_Table_4_Shifter_Pack.vhdl Branch_History_Table_4_Shifter.vhdl Branch_History_Table_4.vhdl
    156 
    157 # Branch_History_Table_5
    158 target_dep      all     Branch_History_Table_5.ngc
    159 target_dep      Branch_History_Table_5.ngc      Branch_History_Table_5.prj
    160 target_dep      Branch_History_Table_5.prj      Branch_History_Table_5_Pack.vhdl Branch_History_Table_5_RegisterFile_Pack.vhdl Branch_History_Table_5_RegisterFile.vhdl Branch_History_Table_5_Shifter_Pack.vhdl Branch_History_Table_5_Shifter.vhdl Branch_History_Table_5.vhdl
    161 
    162 # Branch_History_Table_6
    163 target_dep      all     Branch_History_Table_6.ngc
    164 target_dep      Branch_History_Table_6.ngc      Branch_History_Table_6.prj
    165 target_dep      Branch_History_Table_6.prj      Branch_History_Table_6_Pack.vhdl Branch_History_Table_6_RegisterFile_Pack.vhdl Branch_History_Table_6_RegisterFile.vhdl Branch_History_Table_6_Shifter_Pack.vhdl Branch_History_Table_6_Shifter.vhdl Branch_History_Table_6.vhdl
    166 
    167 # Branch_History_Table_7
    168 target_dep      all     Branch_History_Table_7.ngc
    169 target_dep      Branch_History_Table_7.ngc      Branch_History_Table_7.prj
    170 target_dep      Branch_History_Table_7.prj      Branch_History_Table_7_Pack.vhdl Branch_History_Table_7_RegisterFile_Pack.vhdl Branch_History_Table_7_RegisterFile.vhdl Branch_History_Table_7_Shifter_Pack.vhdl Branch_History_Table_7_Shifter.vhdl Branch_History_Table_7.vhdl
    171 
    172 # Branch_History_Table_8
    173 target_dep      all     Branch_History_Table_8.ngc
    174 target_dep      Branch_History_Table_8.ngc      Branch_History_Table_8.prj
    175 target_dep      Branch_History_Table_8.prj      Branch_History_Table_8_Pack.vhdl Branch_History_Table_8_RegisterFile_Pack.vhdl Branch_History_Table_8_RegisterFile.vhdl Branch_History_Table_8_Shifter_Pack.vhdl Branch_History_Table_8_Shifter.vhdl Branch_History_Table_8.vhdl
    176 
    177 # Branch_History_Table_9
    178 target_dep      all     Branch_History_Table_9.ngc
    179 target_dep      Branch_History_Table_9.ngc      Branch_History_Table_9.prj
    180 target_dep      Branch_History_Table_9.prj      Branch_History_Table_9_Pack.vhdl Branch_History_Table_9_RegisterFile_Pack.vhdl Branch_History_Table_9_RegisterFile.vhdl Branch_History_Table_9_Shifter_Pack.vhdl Branch_History_Table_9_Shifter.vhdl Branch_History_Table_9.vhdl
    181 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/SelfTest/src/test.cpp

    r3 r15  
    4646   *********************************************************************/
    4747  sc_clock                                 CLOCK ("clock", 1.0, 0.5);
     48  sc_signal<Tcontrol_t>                    NRESET;
    4849  sc_signal<Tcontrol_t>                    PREDICT_VAL               [param._nb_prediction];
    4950  sc_signal<Tcontrol_t>                    PREDICT_ACK               [param._nb_prediction];
     
    6465 
    6566  (*(_Branch_History_Table->in_CLOCK))        (CLOCK);
     67  (*(_Branch_History_Table->in_NRESET))       (NRESET);
    6668
    6769  for (uint32_t i=0; i<param._nb_prediction; i++)
     
    9799  cout << "{"+toString(static_cast<uint32_t>(sc_simulation_time()))+"} Initialisation" << endl;
    98100
     101  NRESET.write(1);
    99102  for (uint32_t i=0; i<param._nb_prediction; i++)
    100103    PREDICT_VAL         [i].write(0);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/include/Branch_History_Table.h

    r3 r15  
    1818// Internal structure
    1919#include "Behavioural/Generic/Shifter/include/Shifter.h"
    20 #include "Behavioural/Generic/RegisterFile/include/RegisterFile.h"
    21 
     20#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/RegisterFile_Monolithic.h"
    2221#include "Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/include/Parameters.h"
    2322#include "Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/include/Types.h"
     
    6867    // Interface
    6968  public    : SC_CLOCK                      *  in_CLOCK                  ;
     69  public    : SC_IN (Tcontrol_t)            *  in_NRESET                 ;
    7070 
    7171  public    : SC_IN (Tcontrol_t)           **  in_PREDICT_VAL            ;
     
    8888    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    8989  public    : morpheo::behavioural::generic::shifter::Shifter           * component_Shifter     ;
    90   public    : morpheo::behavioural::generic::registerfile::RegisterFile * component_RegisterFile;
     90  public    : morpheo::behavioural::generic::registerfile::registerfile_monolithic::RegisterFile_Monolithic * component_RegisterFile;
    9191
    9292    // -----[ methods ]---------------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/include/Parameters.h

    r2 r15  
    1313// Internal structure
    1414#include "Behavioural/Generic/Shifter/include/Parameters.h"
    15 #include "Behavioural/Generic/RegisterFile/include/Parameters.h"
     15#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Parameters.h"
    1616#include <math.h>
    1717
     
    3434
    3535  public :       morpheo::behavioural::generic::shifter::Parameters      * _param_shifter;
    36   public :       morpheo::behavioural::generic::registerfile::Parameters * _param_registerfile;
     36  public :       morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters * _param_registerfile;
    3737
    3838    //-----[ methods ]-----------------------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/include/Statistics.h

    r2 r15  
    1414#include "Behavioural/include/Parameters_Statistics.h"
    1515#include "Behavioural/Generic/Shifter/include/Statistics.h"
    16 #include "Behavioural/Generic/RegisterFile/include/Statistics.h"
     16#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Statistics.h"
    1717//#include "Behavioural/Generic/Group/include/Statistics.h"
    1818#include "Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/include/Parameters.h"
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/src/Branch_History_Table.cpp

    r2 r15  
    5656    allocation ();
    5757
    58     // Constant
    59     for (uint32_t i=0; i<_param._nb_prediction     ; i++)
    60       PORT_WRITE(out_PREDICT_ACK         [i],1);
    61     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    62       PORT_WRITE(out_BRANCH_COMPLETE_ACK [i],1);
     58//     // Constant
     59//     for (uint32_t i=0; i<_param._nb_prediction     ; i++)
     60//       PORT_WRITE(out_PREDICT_ACK         [i],1);
     61//     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
     62//       PORT_WRITE(out_BRANCH_COMPLETE_ACK [i],1);
    6363
    6464#if (defined(STATISTICS) || defined (VHDL_TESTBENCH))
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/src/Branch_History_Table_allocation.cpp

    r3 r15  
    2323
    2424     in_CLOCK           = new SC_CLOCK           ("in_CLOCK");
     25     in_NRESET          = new SC_IN (Tcontrol_t) ("in_NRESET");
    2526
    2627     in_PREDICT_VAL     = new SC_IN (Tcontrol_t) * [_param._nb_prediction];
     
    102103    name_component = _name+"_RegisterFile";
    103104   
    104     component_RegisterFile = new morpheo::behavioural::generic::registerfile::RegisterFile (name_component.c_str(),
     105    component_RegisterFile = new morpheo::behavioural::generic::registerfile::registerfile_monolithic::RegisterFile_Monolithic(name_component.c_str(),
    105106#ifdef STATISTICS
    106107                                                                                            _param_statistics            ,
     
    109110     
    110111    // Instantiation
    111     (*(component_RegisterFile->in_CLOCK))        (*(in_CLOCK));
     112    (*(component_RegisterFile->in_CLOCK ))       (*(in_CLOCK ));
     113    (*(component_RegisterFile->in_NRESET))       (*(in_NRESET));
    112114   
    113115    for (uint32_t i=0; i<_param._nb_prediction; i++)
    114116      {
    115         (*(component_RegisterFile-> in_READ_ENABLE   [i])) (*( in_PREDICT_VAL      [i]));
     117        (*(component_RegisterFile-> in_READ_VAL      [i])) (*( in_PREDICT_VAL      [i]));
     118        (*(component_RegisterFile->out_READ_ACK      [i])) (*(out_PREDICT_ACK      [i]));
    116119        (*(component_RegisterFile-> in_READ_ADDRESS  [i])) (*( in_PREDICT_ADDRESS  [i]));
    117120        (*(component_RegisterFile->out_READ_DATA     [i])) (*(out_PREDICT_HISTORY  [i]));   
     
    120123    for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    121124      {
    122         (*(component_RegisterFile-> in_WRITE_ENABLE  [i])) (*(    in_BRANCH_COMPLETE_VAL      [i]));
     125        (*(component_RegisterFile-> in_WRITE_VAL     [i])) (*(    in_BRANCH_COMPLETE_VAL      [i]));
     126        (*(component_RegisterFile->out_WRITE_ACK     [i])) (*(   out_BRANCH_COMPLETE_ACK      [i]));
    123127        (*(component_RegisterFile-> in_WRITE_ADDRESS [i])) (*(    in_BRANCH_COMPLETE_ADDRESS  [i]));
    124128        (*(component_RegisterFile-> in_WRITE_DATA    [i])) (*(signal_BRANCH_COMPLETE_HISTORY  [i]));   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/src/Branch_History_Table_deallocation.cpp

    r3 r15  
    2020  {
    2121    delete in_CLOCK;
     22    delete in_NRESET;
    2223
    2324    for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/src/Branch_History_Table_vhdl_body.cpp

    r3 r15  
    2020  void Branch_History_Table::vhdl_body (Vhdl & vhdl)
    2121  {
    22     vhdl.set_body ("-- Output : always at '1'");
    23     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    24       vhdl.set_body ("out_BRANCH_COMPLETE_ACK_"+toString(i)+" <= '1';");
    25     for (uint32_t i=0; i<_param._nb_prediction     ; i++)
    26       vhdl.set_body ("out_PREDICT_ACK_"+toString(i)+"         <= '1';");
    27     vhdl.set_body ("");
     22//     vhdl.set_body ("-- Output : always at '1'");
     23//     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
     24//       vhdl.set_body ("out_BRANCH_COMPLETE_ACK_"+toString(i)+" <= '1';");
     25//     for (uint32_t i=0; i<_param._nb_prediction     ; i++)
     26//       vhdl.set_body ("out_PREDICT_ACK_"+toString(i)+"         <= '1';");
     27//     vhdl.set_body ("");
    2828
    2929    list<string> list_port_map;
     
    4040    list_port_map.clear();
    4141    vhdl.set_body_component_port_map (list_port_map,"in_CLOCK","in_CLOCK");
     42    vhdl.set_body_component_port_map (list_port_map,"in_NRESET","in_NRESET");
     43
    4244    for (uint32_t i=0; i<_param._nb_prediction; i++)
    4345      {
    44         vhdl.set_body_component_port_map (list_port_map," in_READ_ENABLE_"+toString(i)+"  "," in_PREDICT_VAL_"+toString(i));
     46        vhdl.set_body_component_port_map (list_port_map," in_READ_VAL_"+toString(i)+"     "," in_PREDICT_VAL_"+toString(i));
     47        vhdl.set_body_component_port_map (list_port_map,"out_READ_ACK_"+toString(i)+"     ","out_PREDICT_ACK_"+toString(i));
    4548        vhdl.set_body_component_port_map (list_port_map," in_READ_ADDRESS_"+toString(i)+" "," in_PREDICT_ADDRESS_"+toString(i));
    4649        vhdl.set_body_component_port_map (list_port_map,"out_READ_DATA_"+toString(i)+"    ","out_PREDICT_HISTORY_"+toString(i));   
     
    4952    for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    5053      {
    51         vhdl.set_body_component_port_map (list_port_map," in_WRITE_ENABLE_"+toString(i)+" ","    in_BRANCH_COMPLETE_VAL_"+toString(i)+"");
     54        vhdl.set_body_component_port_map (list_port_map," in_WRITE_VAL_"+toString(i)+"    ","    in_BRANCH_COMPLETE_VAL_"+toString(i)+"");
     55        vhdl.set_body_component_port_map (list_port_map,"out_WRITE_ACK_"+toString(i)+"    ","   out_BRANCH_COMPLETE_ACK_"+toString(i)+"");
    5256        vhdl.set_body_component_port_map (list_port_map," in_WRITE_ADDRESS_"+toString(i)+"","    in_BRANCH_COMPLETE_ADDRESS_"+toString(i));
    5357        vhdl.set_body_component_port_map (list_port_map," in_WRITE_DATA_"+toString(i)+"   ","signal_BRANCH_COMPLETE_HISTORY_"+toString(i));
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/src/Branch_History_Table_vhdl_port.cpp

    r3 r15  
    2121  {
    2222    vhdl.set_port (" in_CLOCK" , IN, 1);
     23    vhdl.set_port (" in_NRESET", IN, 1);
    2324   
    2425    for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/src/Branch_History_Table_vhdl_testbench_port.cpp

    r3 r15  
    1919  void Branch_History_Table::vhdl_testbench_port (void)
    2020  {
     21    _vhdl_testbench->set_port (" in_NRESET", IN, 1);
    2122    for (uint32_t i=0; i<_param._nb_prediction; i++)
    2223      {
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/src/Branch_History_Table_vhdl_testbench_transition.cpp

    r3 r15  
    2525#endif   
    2626
     27    _vhdl_testbench->add_input  (PORT_READ( in_NRESET));
     28
    2729    for (uint32_t i=0; i<_param._nb_prediction; i++)
    2830      {
    2931        _vhdl_testbench->add_input  (PORT_READ( in_PREDICT_VAL     [i]));
    30         _vhdl_testbench->add_output (PORT_READ(out_PREDICT_ACK     [i]));
     32        _vhdl_testbench->add_output (PORT_READ(component_RegisterFile->out_READ_ACK  [i]));
    3133        _vhdl_testbench->add_input  (PORT_READ( in_PREDICT_ADDRESS [i]));
    3234        _vhdl_testbench->add_output (PORT_READ(component_RegisterFile->out_READ_DATA [i]));
     
    3739       {
    3840         _vhdl_testbench->add_input  (PORT_READ( in_BRANCH_COMPLETE_VAL     [i]));
    39          _vhdl_testbench->add_output (PORT_READ(out_BRANCH_COMPLETE_ACK    [i]));
     41         _vhdl_testbench->add_output (PORT_READ(component_RegisterFile->out_WRITE_ACK [i]));
    4042         _vhdl_testbench->add_input  (PORT_READ( in_BRANCH_COMPLETE_ADDRESS [i]));
    4143         _vhdl_testbench->add_input  (PORT_READ( in_BRANCH_COMPLETE_HISTORY [i]));
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/src/Parameters.cpp

    r2 r15  
    3232                                                                             morpheo::behavioural::generic::shifter::internal_left_shift,
    3333                                                                             morpheo::behavioural::generic::shifter::external_completion),
    34     _param_registerfile = new morpheo::behavioural::generic::registerfile::Parameters (nb_prediction      ,
    35                                                                                        nb_branch_complete ,
    36                                                                                        nb_shifter         ,
    37                                                                                        size_shifter       );
     34    _param_registerfile = new morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters (nb_prediction      ,
     35                                                                                                                nb_branch_complete ,
     36                                                                                                                nb_shifter         ,
     37                                                                                                                size_shifter       );
    3838   
    3939    test();
     
    5454                                                                             true),
    5555
    56     _param_registerfile = new morpheo::behavioural::generic::registerfile::Parameters (param._nb_prediction      ,
    57                                                                                        param._nb_branch_complete ,
    58                                                                                        param._nb_shifter         ,
    59                                                                                        param._size_shifter       );
     56    _param_registerfile = new morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters (param._nb_prediction      ,
     57                                                                                                                param._nb_branch_complete ,
     58                                                                                                                param._nb_shifter         ,
     59                                                                                                                param._size_shifter       );
    6060     
    6161
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/Makefile.deps

    r2 r15  
    1414include                         $(DIR_MORPHEO)/Behavioural/Generic/Counter/Makefile.deps
    1515endif
    16 ifndef RegisterFile
    17 include                         $(DIR_MORPHEO)/Behavioural/Generic/RegisterFile/Makefile.deps
     16ifndef RegisterFile_Monolithic
     17include                         $(DIR_MORPHEO)/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/Makefile.deps
    1818endif
    1919
     
    2222#-----[ Library ]------------------------------------------
    2323Pattern_History_Table_LIBRARY           =       -lPattern_History_Table \
    24                                                 $(RegisterFile_LIBRARY) \
     24                                                $(RegisterFile_Monolithic_LIBRARY)      \
    2525                                                $(Counter_LIBRARY)      \
    2626                                                $(Behavioural_LIBRARY)
    2727
    2828Pattern_History_Table_DIR_LIBRARY       =       -L$(DIR_MORPHEO)/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/lib       \
    29                                                 $(RegisterFile_DIR_LIBRARY)     \
     29                                                $(RegisterFile_Monolithic_DIR_LIBRARY)  \
    3030                                                $(Counter_DIR_LIBRARY)          \
    3131                                                $(Behavioural_DIR_LIBRARY)
     
    3636                                        @$(MAKE) Behavioural_library
    3737                                        @$(MAKE) Counter_library
    38                                         @$(MAKE) RegisterFile_library
     38                                        @$(MAKE) RegisterFile_Monolithic_library
    3939                                        @$(MAKE) --directory=$(DIR_MORPHEO)/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table --makefile=Makefile
    4040       
     
    4242                                        @$(MAKE) Behavioural_library_clean
    4343                                        @$(MAKE) Counter_library_clean
    44                                         @$(MAKE) RegisterFile_library_clean
     44                                        @$(MAKE) RegisterFile_Monolithic_library_clean
    4545                                        @$(MAKE) --directory=$(DIR_MORPHEO)/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table --makefile=Makefile clean
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/SelfTest/mkf.info

    r2 r15  
    1 
    2 # Pattern_History_Table_0
    3 target_dep      all     Pattern_History_Table_0.ngc
    4 target_dep      Pattern_History_Table_0.ngc     Pattern_History_Table_0.prj
    5 target_dep      Pattern_History_Table_0.prj     Pattern_History_Table_0_Counter_Pack.vhdl Pattern_History_Table_0_Counter.vhdl Pattern_History_Table_0_Pack.vhdl Pattern_History_Table_0_RegisterFile_Pack.vhdl Pattern_History_Table_0_RegisterFile.vhdl Pattern_History_Table_0.vhdl
    6 
    7 # Pattern_History_Table_10
    8 target_dep      all     Pattern_History_Table_10.ngc
    9 target_dep      Pattern_History_Table_10.ngc    Pattern_History_Table_10.prj
    10 target_dep      Pattern_History_Table_10.prj    Pattern_History_Table_10_Counter_Pack.vhdl Pattern_History_Table_10_Counter.vhdl Pattern_History_Table_10_Pack.vhdl Pattern_History_Table_10_RegisterFile_Pack.vhdl Pattern_History_Table_10_RegisterFile.vhdl Pattern_History_Table_10.vhdl
    11 
    12 # Pattern_History_Table_11
    13 target_dep      all     Pattern_History_Table_11.ngc
    14 target_dep      Pattern_History_Table_11.ngc    Pattern_History_Table_11.prj
    15 target_dep      Pattern_History_Table_11.prj    Pattern_History_Table_11_Counter_Pack.vhdl Pattern_History_Table_11_Counter.vhdl Pattern_History_Table_11_Pack.vhdl Pattern_History_Table_11_RegisterFile_Pack.vhdl Pattern_History_Table_11_RegisterFile.vhdl Pattern_History_Table_11.vhdl
    16 
    17 # Pattern_History_Table_12
    18 target_dep      all     Pattern_History_Table_12.ngc
    19 target_dep      Pattern_History_Table_12.ngc    Pattern_History_Table_12.prj
    20 target_dep      Pattern_History_Table_12.prj    Pattern_History_Table_12_Counter_Pack.vhdl Pattern_History_Table_12_Counter.vhdl Pattern_History_Table_12_Pack.vhdl Pattern_History_Table_12_RegisterFile_Pack.vhdl Pattern_History_Table_12_RegisterFile.vhdl Pattern_History_Table_12.vhdl
    21 
    22 # Pattern_History_Table_13
    23 target_dep      all     Pattern_History_Table_13.ngc
    24 target_dep      Pattern_History_Table_13.ngc    Pattern_History_Table_13.prj
    25 target_dep      Pattern_History_Table_13.prj    Pattern_History_Table_13_Counter_Pack.vhdl Pattern_History_Table_13_Counter.vhdl Pattern_History_Table_13_Pack.vhdl Pattern_History_Table_13_RegisterFile_Pack.vhdl Pattern_History_Table_13_RegisterFile.vhdl Pattern_History_Table_13.vhdl
    26 
    27 # Pattern_History_Table_14
    28 target_dep      all     Pattern_History_Table_14.ngc
    29 target_dep      Pattern_History_Table_14.ngc    Pattern_History_Table_14.prj
    30 target_dep      Pattern_History_Table_14.prj    Pattern_History_Table_14_Counter_Pack.vhdl Pattern_History_Table_14_Counter.vhdl Pattern_History_Table_14_Pack.vhdl Pattern_History_Table_14_RegisterFile_Pack.vhdl Pattern_History_Table_14_RegisterFile.vhdl Pattern_History_Table_14.vhdl
    31 
    32 # Pattern_History_Table_15
    33 target_dep      all     Pattern_History_Table_15.ngc
    34 target_dep      Pattern_History_Table_15.ngc    Pattern_History_Table_15.prj
    35 target_dep      Pattern_History_Table_15.prj    Pattern_History_Table_15_Counter_Pack.vhdl Pattern_History_Table_15_Counter.vhdl Pattern_History_Table_15_Pack.vhdl Pattern_History_Table_15_RegisterFile_Pack.vhdl Pattern_History_Table_15_RegisterFile.vhdl Pattern_History_Table_15.vhdl
    36 
    37 # Pattern_History_Table_16
    38 target_dep      all     Pattern_History_Table_16.ngc
    39 target_dep      Pattern_History_Table_16.ngc    Pattern_History_Table_16.prj
    40 target_dep      Pattern_History_Table_16.prj    Pattern_History_Table_16_Counter_Pack.vhdl Pattern_History_Table_16_Counter.vhdl Pattern_History_Table_16_Pack.vhdl Pattern_History_Table_16_RegisterFile_Pack.vhdl Pattern_History_Table_16_RegisterFile.vhdl Pattern_History_Table_16.vhdl
    41 
    42 # Pattern_History_Table_17
    43 target_dep      all     Pattern_History_Table_17.ngc
    44 target_dep      Pattern_History_Table_17.ngc    Pattern_History_Table_17.prj
    45 target_dep      Pattern_History_Table_17.prj    Pattern_History_Table_17_Counter_Pack.vhdl Pattern_History_Table_17_Counter.vhdl Pattern_History_Table_17_Pack.vhdl Pattern_History_Table_17_RegisterFile_Pack.vhdl Pattern_History_Table_17_RegisterFile.vhdl Pattern_History_Table_17.vhdl
    46 
    47 # Pattern_History_Table_18
    48 target_dep      all     Pattern_History_Table_18.ngc
    49 target_dep      Pattern_History_Table_18.ngc    Pattern_History_Table_18.prj
    50 target_dep      Pattern_History_Table_18.prj    Pattern_History_Table_18_Counter_Pack.vhdl Pattern_History_Table_18_Counter.vhdl Pattern_History_Table_18_Pack.vhdl Pattern_History_Table_18_RegisterFile_Pack.vhdl Pattern_History_Table_18_RegisterFile.vhdl Pattern_History_Table_18.vhdl
    51 
    52 # Pattern_History_Table_19
    53 target_dep      all     Pattern_History_Table_19.ngc
    54 target_dep      Pattern_History_Table_19.ngc    Pattern_History_Table_19.prj
    55 target_dep      Pattern_History_Table_19.prj    Pattern_History_Table_19_Counter_Pack.vhdl Pattern_History_Table_19_Counter.vhdl Pattern_History_Table_19_Pack.vhdl Pattern_History_Table_19_RegisterFile_Pack.vhdl Pattern_History_Table_19_RegisterFile.vhdl Pattern_History_Table_19.vhdl
    56 
    57 # Pattern_History_Table_1
    58 target_dep      all     Pattern_History_Table_1.ngc
    59 target_dep      Pattern_History_Table_1.ngc     Pattern_History_Table_1.prj
    60 target_dep      Pattern_History_Table_1.prj     Pattern_History_Table_10_Counter_Pack.vhdl Pattern_History_Table_10_Counter.vhdl Pattern_History_Table_10_Pack.vhdl Pattern_History_Table_10_RegisterFile_Pack.vhdl Pattern_History_Table_10_RegisterFile.vhdl Pattern_History_Table_10.vhdl Pattern_History_Table_11_Counter_Pack.vhdl Pattern_History_Table_11_Counter.vhdl Pattern_History_Table_11_Pack.vhdl Pattern_History_Table_11_RegisterFile_Pack.vhdl Pattern_History_Table_11_RegisterFile.vhdl Pattern_History_Table_11.vhdl Pattern_History_Table_12_Counter_Pack.vhdl Pattern_History_Table_12_Counter.vhdl Pattern_History_Table_12_Pack.vhdl Pattern_History_Table_12_RegisterFile_Pack.vhdl Pattern_History_Table_12_RegisterFile.vhdl Pattern_History_Table_12.vhdl Pattern_History_Table_13_Counter_Pack.vhdl Pattern_History_Table_13_Counter.vhdl Pattern_History_Table_13_Pack.vhdl Pattern_History_Table_13_RegisterFile_Pack.vhdl Pattern_History_Table_13_RegisterFile.vhdl Pattern_History_Table_13.vhdl Pattern_History_Table_14_Counter_Pack.vhdl Pattern_History_Table_14_Counter.vhdl Pattern_History_Table_14_Pack.vhdl Pattern_History_Table_14_RegisterFile_Pack.vhdl Pattern_History_Table_14_RegisterFile.vhdl Pattern_History_Table_14.vhdl Pattern_History_Table_15_Counter_Pack.vhdl Pattern_History_Table_15_Counter.vhdl Pattern_History_Table_15_Pack.vhdl Pattern_History_Table_15_RegisterFile_Pack.vhdl Pattern_History_Table_15_RegisterFile.vhdl Pattern_History_Table_15.vhdl Pattern_History_Table_16_Counter_Pack.vhdl Pattern_History_Table_16_Counter.vhdl Pattern_History_Table_16_Pack.vhdl Pattern_History_Table_16_RegisterFile_Pack.vhdl Pattern_History_Table_16_RegisterFile.vhdl Pattern_History_Table_16.vhdl Pattern_History_Table_17_Counter_Pack.vhdl Pattern_History_Table_17_Counter.vhdl Pattern_History_Table_17_Pack.vhdl Pattern_History_Table_17_RegisterFile_Pack.vhdl Pattern_History_Table_17_RegisterFile.vhdl Pattern_History_Table_17.vhdl Pattern_History_Table_18_Counter_Pack.vhdl Pattern_History_Table_18_Counter.vhdl Pattern_History_Table_18_Pack.vhdl Pattern_History_Table_18_RegisterFile_Pack.vhdl Pattern_History_Table_18_RegisterFile.vhdl Pattern_History_Table_18.vhdl Pattern_History_Table_19_Counter_Pack.vhdl Pattern_History_Table_19_Counter.vhdl Pattern_History_Table_19_Pack.vhdl Pattern_History_Table_19_RegisterFile_Pack.vhdl Pattern_History_Table_19_RegisterFile.vhdl Pattern_History_Table_19.vhdl Pattern_History_Table_1_Counter_Pack.vhdl Pattern_History_Table_1_Counter.vhdl Pattern_History_Table_1_Pack.vhdl Pattern_History_Table_1_RegisterFile_Pack.vhdl Pattern_History_Table_1_RegisterFile.vhdl Pattern_History_Table_1.vhdl
    61 
    62 # Pattern_History_Table_20
    63 target_dep      all     Pattern_History_Table_20.ngc
    64 target_dep      Pattern_History_Table_20.ngc    Pattern_History_Table_20.prj
    65 target_dep      Pattern_History_Table_20.prj    Pattern_History_Table_20_Counter_Pack.vhdl Pattern_History_Table_20_Counter.vhdl Pattern_History_Table_20_Pack.vhdl Pattern_History_Table_20_RegisterFile_Pack.vhdl Pattern_History_Table_20_RegisterFile.vhdl Pattern_History_Table_20.vhdl
    66 
    67 # Pattern_History_Table_21
    68 target_dep      all     Pattern_History_Table_21.ngc
    69 target_dep      Pattern_History_Table_21.ngc    Pattern_History_Table_21.prj
    70 target_dep      Pattern_History_Table_21.prj    Pattern_History_Table_21_Counter_Pack.vhdl Pattern_History_Table_21_Counter.vhdl Pattern_History_Table_21_Pack.vhdl Pattern_History_Table_21_RegisterFile_Pack.vhdl Pattern_History_Table_21_RegisterFile.vhdl Pattern_History_Table_21.vhdl
    71 
    72 # Pattern_History_Table_22
    73 target_dep      all     Pattern_History_Table_22.ngc
    74 target_dep      Pattern_History_Table_22.ngc    Pattern_History_Table_22.prj
    75 target_dep      Pattern_History_Table_22.prj    Pattern_History_Table_22_Counter_Pack.vhdl Pattern_History_Table_22_Counter.vhdl Pattern_History_Table_22_Pack.vhdl Pattern_History_Table_22_RegisterFile_Pack.vhdl Pattern_History_Table_22_RegisterFile.vhdl Pattern_History_Table_22.vhdl
    76 
    77 # Pattern_History_Table_23
    78 target_dep      all     Pattern_History_Table_23.ngc
    79 target_dep      Pattern_History_Table_23.ngc    Pattern_History_Table_23.prj
    80 target_dep      Pattern_History_Table_23.prj    Pattern_History_Table_23_Counter_Pack.vhdl Pattern_History_Table_23_Counter.vhdl Pattern_History_Table_23_Pack.vhdl Pattern_History_Table_23_RegisterFile_Pack.vhdl Pattern_History_Table_23_RegisterFile.vhdl Pattern_History_Table_23.vhdl
    81 
    82 # Pattern_History_Table_24
    83 target_dep      all     Pattern_History_Table_24.ngc
    84 target_dep      Pattern_History_Table_24.ngc    Pattern_History_Table_24.prj
    85 target_dep      Pattern_History_Table_24.prj    Pattern_History_Table_24_Counter_Pack.vhdl Pattern_History_Table_24_Counter.vhdl Pattern_History_Table_24_Pack.vhdl Pattern_History_Table_24_RegisterFile_Pack.vhdl Pattern_History_Table_24_RegisterFile.vhdl Pattern_History_Table_24.vhdl
    86 
    87 # Pattern_History_Table_25
    88 target_dep      all     Pattern_History_Table_25.ngc
    89 target_dep      Pattern_History_Table_25.ngc    Pattern_History_Table_25.prj
    90 target_dep      Pattern_History_Table_25.prj    Pattern_History_Table_25_Counter_Pack.vhdl Pattern_History_Table_25_Counter.vhdl Pattern_History_Table_25_Pack.vhdl Pattern_History_Table_25_RegisterFile_Pack.vhdl Pattern_History_Table_25_RegisterFile.vhdl Pattern_History_Table_25.vhdl
    91 
    92 # Pattern_History_Table_26
    93 target_dep      all     Pattern_History_Table_26.ngc
    94 target_dep      Pattern_History_Table_26.ngc    Pattern_History_Table_26.prj
    95 target_dep      Pattern_History_Table_26.prj    Pattern_History_Table_26_Counter_Pack.vhdl Pattern_History_Table_26_Counter.vhdl Pattern_History_Table_26_Pack.vhdl Pattern_History_Table_26_RegisterFile_Pack.vhdl Pattern_History_Table_26_RegisterFile.vhdl Pattern_History_Table_26.vhdl
    96 
    97 # Pattern_History_Table_27
    98 target_dep      all     Pattern_History_Table_27.ngc
    99 target_dep      Pattern_History_Table_27.ngc    Pattern_History_Table_27.prj
    100 target_dep      Pattern_History_Table_27.prj    Pattern_History_Table_27_Counter_Pack.vhdl Pattern_History_Table_27_Counter.vhdl Pattern_History_Table_27_Pack.vhdl Pattern_History_Table_27_RegisterFile_Pack.vhdl Pattern_History_Table_27_RegisterFile.vhdl Pattern_History_Table_27.vhdl
    101 
    102 # Pattern_History_Table_28
    103 target_dep      all     Pattern_History_Table_28.ngc
    104 target_dep      Pattern_History_Table_28.ngc    Pattern_History_Table_28.prj
    105 target_dep      Pattern_History_Table_28.prj    Pattern_History_Table_28_Counter_Pack.vhdl Pattern_History_Table_28_Counter.vhdl Pattern_History_Table_28_Pack.vhdl Pattern_History_Table_28_RegisterFile_Pack.vhdl Pattern_History_Table_28_RegisterFile.vhdl Pattern_History_Table_28.vhdl
    106 
    107 # Pattern_History_Table_29
    108 target_dep      all     Pattern_History_Table_29.ngc
    109 target_dep      Pattern_History_Table_29.ngc    Pattern_History_Table_29.prj
    110 target_dep      Pattern_History_Table_29.prj    Pattern_History_Table_29_Counter_Pack.vhdl Pattern_History_Table_29_Counter.vhdl Pattern_History_Table_29_Pack.vhdl Pattern_History_Table_29_RegisterFile_Pack.vhdl Pattern_History_Table_29_RegisterFile.vhdl Pattern_History_Table_29.vhdl
    111 
    112 # Pattern_History_Table_2
    113 target_dep      all     Pattern_History_Table_2.ngc
    114 target_dep      Pattern_History_Table_2.ngc     Pattern_History_Table_2.prj
    115 target_dep      Pattern_History_Table_2.prj     Pattern_History_Table_20_Counter_Pack.vhdl Pattern_History_Table_20_Counter.vhdl Pattern_History_Table_20_Pack.vhdl Pattern_History_Table_20_RegisterFile_Pack.vhdl Pattern_History_Table_20_RegisterFile.vhdl Pattern_History_Table_20.vhdl Pattern_History_Table_21_Counter_Pack.vhdl Pattern_History_Table_21_Counter.vhdl Pattern_History_Table_21_Pack.vhdl Pattern_History_Table_21_RegisterFile_Pack.vhdl Pattern_History_Table_21_RegisterFile.vhdl Pattern_History_Table_21.vhdl Pattern_History_Table_22_Counter_Pack.vhdl Pattern_History_Table_22_Counter.vhdl Pattern_History_Table_22_Pack.vhdl Pattern_History_Table_22_RegisterFile_Pack.vhdl Pattern_History_Table_22_RegisterFile.vhdl Pattern_History_Table_22.vhdl Pattern_History_Table_23_Counter_Pack.vhdl Pattern_History_Table_23_Counter.vhdl Pattern_History_Table_23_Pack.vhdl Pattern_History_Table_23_RegisterFile_Pack.vhdl Pattern_History_Table_23_RegisterFile.vhdl Pattern_History_Table_23.vhdl Pattern_History_Table_24_Counter_Pack.vhdl Pattern_History_Table_24_Counter.vhdl Pattern_History_Table_24_Pack.vhdl Pattern_History_Table_24_RegisterFile_Pack.vhdl Pattern_History_Table_24_RegisterFile.vhdl Pattern_History_Table_24.vhdl Pattern_History_Table_25_Counter_Pack.vhdl Pattern_History_Table_25_Counter.vhdl Pattern_History_Table_25_Pack.vhdl Pattern_History_Table_25_RegisterFile_Pack.vhdl Pattern_History_Table_25_RegisterFile.vhdl Pattern_History_Table_25.vhdl Pattern_History_Table_26_Counter_Pack.vhdl Pattern_History_Table_26_Counter.vhdl Pattern_History_Table_26_Pack.vhdl Pattern_History_Table_26_RegisterFile_Pack.vhdl Pattern_History_Table_26_RegisterFile.vhdl Pattern_History_Table_26.vhdl Pattern_History_Table_27_Counter_Pack.vhdl Pattern_History_Table_27_Counter.vhdl Pattern_History_Table_27_Pack.vhdl Pattern_History_Table_27_RegisterFile_Pack.vhdl Pattern_History_Table_27_RegisterFile.vhdl Pattern_History_Table_27.vhdl Pattern_History_Table_28_Counter_Pack.vhdl Pattern_History_Table_28_Counter.vhdl Pattern_History_Table_28_Pack.vhdl Pattern_History_Table_28_RegisterFile_Pack.vhdl Pattern_History_Table_28_RegisterFile.vhdl Pattern_History_Table_28.vhdl Pattern_History_Table_29_Counter_Pack.vhdl Pattern_History_Table_29_Counter.vhdl Pattern_History_Table_29_Pack.vhdl Pattern_History_Table_29_RegisterFile_Pack.vhdl Pattern_History_Table_29_RegisterFile.vhdl Pattern_History_Table_29.vhdl Pattern_History_Table_2_Counter_Pack.vhdl Pattern_History_Table_2_Counter.vhdl Pattern_History_Table_2_Pack.vhdl Pattern_History_Table_2_RegisterFile_Pack.vhdl Pattern_History_Table_2_RegisterFile.vhdl Pattern_History_Table_2.vhdl
    116 
    117 # Pattern_History_Table_30
    118 target_dep      all     Pattern_History_Table_30.ngc
    119 target_dep      Pattern_History_Table_30.ngc    Pattern_History_Table_30.prj
    120 target_dep      Pattern_History_Table_30.prj    Pattern_History_Table_30_Counter_Pack.vhdl Pattern_History_Table_30_Counter.vhdl Pattern_History_Table_30_Pack.vhdl Pattern_History_Table_30_RegisterFile_Pack.vhdl Pattern_History_Table_30_RegisterFile.vhdl Pattern_History_Table_30.vhdl
    121 
    122 # Pattern_History_Table_31
    123 target_dep      all     Pattern_History_Table_31.ngc
    124 target_dep      Pattern_History_Table_31.ngc    Pattern_History_Table_31.prj
    125 target_dep      Pattern_History_Table_31.prj    Pattern_History_Table_31_Counter_Pack.vhdl Pattern_History_Table_31_Counter.vhdl Pattern_History_Table_31_Pack.vhdl Pattern_History_Table_31_RegisterFile_Pack.vhdl Pattern_History_Table_31_RegisterFile.vhdl Pattern_History_Table_31.vhdl
    126 
    127 # Pattern_History_Table_32
    128 target_dep      all     Pattern_History_Table_32.ngc
    129 target_dep      Pattern_History_Table_32.ngc    Pattern_History_Table_32.prj
    130 target_dep      Pattern_History_Table_32.prj    Pattern_History_Table_32_Counter_Pack.vhdl Pattern_History_Table_32_Counter.vhdl Pattern_History_Table_32_Pack.vhdl Pattern_History_Table_32_RegisterFile_Pack.vhdl Pattern_History_Table_32_RegisterFile.vhdl Pattern_History_Table_32.vhdl
    131 
    132 # Pattern_History_Table_33
    133 target_dep      all     Pattern_History_Table_33.ngc
    134 target_dep      Pattern_History_Table_33.ngc    Pattern_History_Table_33.prj
    135 target_dep      Pattern_History_Table_33.prj    Pattern_History_Table_33_Counter_Pack.vhdl Pattern_History_Table_33_Counter.vhdl Pattern_History_Table_33_Pack.vhdl Pattern_History_Table_33_RegisterFile_Pack.vhdl Pattern_History_Table_33_RegisterFile.vhdl Pattern_History_Table_33.vhdl
    136 
    137 # Pattern_History_Table_34
    138 target_dep      all     Pattern_History_Table_34.ngc
    139 target_dep      Pattern_History_Table_34.ngc    Pattern_History_Table_34.prj
    140 target_dep      Pattern_History_Table_34.prj    Pattern_History_Table_34_Counter_Pack.vhdl Pattern_History_Table_34_Counter.vhdl Pattern_History_Table_34_Pack.vhdl Pattern_History_Table_34_RegisterFile_Pack.vhdl Pattern_History_Table_34_RegisterFile.vhdl Pattern_History_Table_34.vhdl
    141 
    142 # Pattern_History_Table_35
    143 target_dep      all     Pattern_History_Table_35.ngc
    144 target_dep      Pattern_History_Table_35.ngc    Pattern_History_Table_35.prj
    145 target_dep      Pattern_History_Table_35.prj    Pattern_History_Table_35_Counter_Pack.vhdl Pattern_History_Table_35_Counter.vhdl Pattern_History_Table_35_Pack.vhdl Pattern_History_Table_35_RegisterFile_Pack.vhdl Pattern_History_Table_35_RegisterFile.vhdl Pattern_History_Table_35.vhdl
    146 
    147 # Pattern_History_Table_3
    148 target_dep      all     Pattern_History_Table_3.ngc
    149 target_dep      Pattern_History_Table_3.ngc     Pattern_History_Table_3.prj
    150 target_dep      Pattern_History_Table_3.prj     Pattern_History_Table_30_Counter_Pack.vhdl Pattern_History_Table_30_Counter.vhdl Pattern_History_Table_30_Pack.vhdl Pattern_History_Table_30_RegisterFile_Pack.vhdl Pattern_History_Table_30_RegisterFile.vhdl Pattern_History_Table_30.vhdl Pattern_History_Table_31_Counter_Pack.vhdl Pattern_History_Table_31_Counter.vhdl Pattern_History_Table_31_Pack.vhdl Pattern_History_Table_31_RegisterFile_Pack.vhdl Pattern_History_Table_31_RegisterFile.vhdl Pattern_History_Table_31.vhdl Pattern_History_Table_32_Counter_Pack.vhdl Pattern_History_Table_32_Counter.vhdl Pattern_History_Table_32_Pack.vhdl Pattern_History_Table_32_RegisterFile_Pack.vhdl Pattern_History_Table_32_RegisterFile.vhdl Pattern_History_Table_32.vhdl Pattern_History_Table_33_Counter_Pack.vhdl Pattern_History_Table_33_Counter.vhdl Pattern_History_Table_33_Pack.vhdl Pattern_History_Table_33_RegisterFile_Pack.vhdl Pattern_History_Table_33_RegisterFile.vhdl Pattern_History_Table_33.vhdl Pattern_History_Table_34_Counter_Pack.vhdl Pattern_History_Table_34_Counter.vhdl Pattern_History_Table_34_Pack.vhdl Pattern_History_Table_34_RegisterFile_Pack.vhdl Pattern_History_Table_34_RegisterFile.vhdl Pattern_History_Table_34.vhdl Pattern_History_Table_35_Counter_Pack.vhdl Pattern_History_Table_35_Counter.vhdl Pattern_History_Table_35_Pack.vhdl Pattern_History_Table_35_RegisterFile_Pack.vhdl Pattern_History_Table_35_RegisterFile.vhdl Pattern_History_Table_35.vhdl Pattern_History_Table_3_Counter_Pack.vhdl Pattern_History_Table_3_Counter.vhdl Pattern_History_Table_3_Pack.vhdl Pattern_History_Table_3_RegisterFile_Pack.vhdl Pattern_History_Table_3_RegisterFile.vhdl Pattern_History_Table_3.vhdl
    151 
    152 # Pattern_History_Table_4
    153 target_dep      all     Pattern_History_Table_4.ngc
    154 target_dep      Pattern_History_Table_4.ngc     Pattern_History_Table_4.prj
    155 target_dep      Pattern_History_Table_4.prj     Pattern_History_Table_4_Counter_Pack.vhdl Pattern_History_Table_4_Counter.vhdl Pattern_History_Table_4_Pack.vhdl Pattern_History_Table_4_RegisterFile_Pack.vhdl Pattern_History_Table_4_RegisterFile.vhdl Pattern_History_Table_4.vhdl
    156 
    157 # Pattern_History_Table_5
    158 target_dep      all     Pattern_History_Table_5.ngc
    159 target_dep      Pattern_History_Table_5.ngc     Pattern_History_Table_5.prj
    160 target_dep      Pattern_History_Table_5.prj     Pattern_History_Table_5_Counter_Pack.vhdl Pattern_History_Table_5_Counter.vhdl Pattern_History_Table_5_Pack.vhdl Pattern_History_Table_5_RegisterFile_Pack.vhdl Pattern_History_Table_5_RegisterFile.vhdl Pattern_History_Table_5.vhdl
    161 
    162 # Pattern_History_Table_6
    163 target_dep      all     Pattern_History_Table_6.ngc
    164 target_dep      Pattern_History_Table_6.ngc     Pattern_History_Table_6.prj
    165 target_dep      Pattern_History_Table_6.prj     Pattern_History_Table_6_Counter_Pack.vhdl Pattern_History_Table_6_Counter.vhdl Pattern_History_Table_6_Pack.vhdl Pattern_History_Table_6_RegisterFile_Pack.vhdl Pattern_History_Table_6_RegisterFile.vhdl Pattern_History_Table_6.vhdl
    166 
    167 # Pattern_History_Table_7
    168 target_dep      all     Pattern_History_Table_7.ngc
    169 target_dep      Pattern_History_Table_7.ngc     Pattern_History_Table_7.prj
    170 target_dep      Pattern_History_Table_7.prj     Pattern_History_Table_7_Counter_Pack.vhdl Pattern_History_Table_7_Counter.vhdl Pattern_History_Table_7_Pack.vhdl Pattern_History_Table_7_RegisterFile_Pack.vhdl Pattern_History_Table_7_RegisterFile.vhdl Pattern_History_Table_7.vhdl
    171 
    172 # Pattern_History_Table_8
    173 target_dep      all     Pattern_History_Table_8.ngc
    174 target_dep      Pattern_History_Table_8.ngc     Pattern_History_Table_8.prj
    175 target_dep      Pattern_History_Table_8.prj     Pattern_History_Table_8_Counter_Pack.vhdl Pattern_History_Table_8_Counter.vhdl Pattern_History_Table_8_Pack.vhdl Pattern_History_Table_8_RegisterFile_Pack.vhdl Pattern_History_Table_8_RegisterFile.vhdl Pattern_History_Table_8.vhdl
    176 
    177 # Pattern_History_Table_9
    178 target_dep      all     Pattern_History_Table_9.ngc
    179 target_dep      Pattern_History_Table_9.ngc     Pattern_History_Table_9.prj
    180 target_dep      Pattern_History_Table_9.prj     Pattern_History_Table_9_Counter_Pack.vhdl Pattern_History_Table_9_Counter.vhdl Pattern_History_Table_9_Pack.vhdl Pattern_History_Table_9_RegisterFile_Pack.vhdl Pattern_History_Table_9_RegisterFile.vhdl Pattern_History_Table_9.vhdl
    181 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/SelfTest/src/test.cpp

    r3 r15  
    4343   *********************************************************************/
    4444  sc_clock                                 CLOCK ("clock", 1.0, 0.5);
     45  sc_signal<Tcontrol_t>                    NRESET;
     46
    4547  sc_signal<Tcontrol_t>                    PREDICT_VAL               [param._nb_prediction];
    4648  sc_signal<Tcontrol_t>                    PREDICT_ACK               [param._nb_prediction];
     
    6163 
    6264  (*(_Pattern_History_Table->in_CLOCK))        (CLOCK);
     65  (*(_Pattern_History_Table->in_NRESET))       (NRESET);
    6366
    6467  for (uint32_t i=0; i<param._nb_prediction; i++)
     
    9295  _Pattern_History_Table->vhdl_testbench_label("Initialisation");
    9396  cout << "{"+toString(static_cast<uint32_t>(sc_simulation_time()))+"} Initialisation" << endl;
    94 
     97 
     98  NRESET.write(1);
    9599
    96100  for (uint32_t i=0; i<param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/include/Parameters.h

    r2 r15  
    1313// Internal structure
    1414#include "Behavioural/Generic/Counter/include/Parameters.h"
    15 #include "Behavioural/Generic/RegisterFile/include/Parameters.h"
     15#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Parameters.h"
    1616#include <math.h>
    1717
     
    3434
    3535  public :       morpheo::behavioural::generic::counter::Parameters      * _param_counter;
    36   public :       morpheo::behavioural::generic::registerfile::Parameters * _param_registerfile;
     36  public :       morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters * _param_registerfile;
    3737
    3838    //-----[ methods ]-----------------------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/include/Pattern_History_Table.h

    r3 r15  
    1919// Internal structure
    2020#include "Behavioural/Generic/Counter/include/Counter.h"
    21 #include "Behavioural/Generic/RegisterFile/include/RegisterFile.h"
     21#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/RegisterFile_Monolithic.h"
    2222
    2323#include "Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/include/Parameters.h"
     
    7070    // Interface
    7171  public    : SC_CLOCK                      *  in_CLOCK        ;
     72  public    : SC_IN (Tcontrol_t)            *  in_NRESET       ;
    7273
    7374  public    : SC_IN (Tcontrol_t)           **  in_PREDICT_VAL            ;
     
    9091    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    9192  public    : morpheo::behavioural::generic::counter::Counter           * component_Counter     ;
    92   public    : morpheo::behavioural::generic::registerfile::RegisterFile * component_RegisterFile;
     93  public    : morpheo::behavioural::generic::registerfile::registerfile_monolithic::RegisterFile_Monolithic * component_RegisterFile;
    9394
    9495    // -----[ methods ]---------------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/include/Statistics.h

    r2 r15  
    1414#include "Behavioural/include/Parameters_Statistics.h"
    1515#include "Behavioural/Generic/Counter/include/Statistics.h"
    16 #include "Behavioural/Generic/RegisterFile/include/Statistics.h"
     16#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Statistics.h"
    1717//#include "Behavioural/Generic/Group/include/Statistics.h"
    1818#include "Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/include/Parameters.h"
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/src/Parameters.cpp

    r2 r15  
    3030    _param_counter      = new morpheo::behavioural::generic::counter::Parameters (size_counter       ,
    3131                                                                                  nb_branch_complete );
    32     _param_registerfile = new morpheo::behavioural::generic::registerfile::Parameters (nb_prediction      ,
    33                                                                                        nb_branch_complete ,
    34                                                                                        nb_counter         ,
    35                                                                                        size_counter       );
     32    _param_registerfile = new morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters (nb_prediction      ,
     33                                                                                                                nb_branch_complete ,
     34                                                                                                                nb_counter         ,
     35                                                                                                                size_counter       );
    3636   
    3737
     
    5151                                                                             param._nb_branch_complete );
    5252
    53     _param_registerfile = new morpheo::behavioural::generic::registerfile::Parameters (param._nb_prediction      ,
    54                                                                                        param._nb_branch_complete ,
    55                                                                                        param._nb_counter         ,
    56                                                                                        param._size_counter       );
    57 
     53    _param_registerfile = new morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters (param._nb_prediction      ,
     54                                                                                                                param._nb_branch_complete ,
     55                                                                                                                param._nb_counter         ,
     56                                                                                                                param._size_counter       );
     57   
    5858    test();
    5959    log_printf(FUNC,Pattern_History_Table,"Parameters","End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/src/Pattern_History_Table.cpp

    r2 r15  
    5858    allocation ();
    5959
    60     // Constant
    61     for (uint32_t i=0; i<_param._nb_prediction     ; i++)
    62       PORT_WRITE(out_PREDICT_ACK         [i],1);
    63     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    64       PORT_WRITE(out_BRANCH_COMPLETE_ACK [i],1);
     60//     // Constant
     61//     for (uint32_t i=0; i<_param._nb_prediction     ; i++)
     62//       PORT_WRITE(out_PREDICT_ACK         [i],1);
     63//     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
     64//       PORT_WRITE(out_BRANCH_COMPLETE_ACK [i],1);
    6565
    6666#if (defined(STATISTICS) || defined (VHDL_TESTBENCH))
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/src/Pattern_History_Table_allocation.cpp

    r3 r15  
    2525
    2626    in_CLOCK  = new SC_CLOCK           ("in_CLOCK");
     27    in_NRESET = new SC_IN (Tcontrol_t) ("in_NRESET");
    2728
    2829     in_PREDICT_VAL     = new SC_IN (Tcontrol_t) * [_param._nb_prediction];
     
    104105    name_component = _name+"_RegisterFile";
    105106   
    106     component_RegisterFile = new morpheo::behavioural::generic::registerfile::RegisterFile (name_component.c_str(),
     107    component_RegisterFile = new morpheo::behavioural::generic::registerfile::registerfile_monolithic::RegisterFile_Monolithic (name_component.c_str(),
    107108#ifdef STATISTICS
    108                                                                                             _param_statistics            ,
     109                                                                                                                                _param_statistics            ,
    109110#endif
    110                                                                                             *(_param._param_registerfile));
    111      
     111                                                                                                                                *(_param._param_registerfile));
     112   
    112113    // Instantiation
    113114    (*(component_RegisterFile->in_CLOCK))        (*(in_CLOCK));
    114    
     115    (*(component_RegisterFile->in_NRESET))       (*(in_NRESET));
     116
    115117    for (uint32_t i=0; i<_param._nb_prediction; i++)
    116118      {
    117         (*(component_RegisterFile-> in_READ_ENABLE   [i])) (*( in_PREDICT_VAL      [i]));
     119        (*(component_RegisterFile-> in_READ_VAL      [i])) (*( in_PREDICT_VAL      [i]));
     120        (*(component_RegisterFile->out_READ_ACK      [i])) (*(out_PREDICT_ACK      [i]));
    118121        (*(component_RegisterFile-> in_READ_ADDRESS  [i])) (*( in_PREDICT_ADDRESS  [i]));
    119122        (*(component_RegisterFile->out_READ_DATA     [i])) (*(out_PREDICT_HISTORY  [i]));   
     
    122125    for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    123126      {
    124         (*(component_RegisterFile-> in_WRITE_ENABLE  [i])) (*(    in_BRANCH_COMPLETE_VAL      [i]));
     127        (*(component_RegisterFile-> in_WRITE_VAL     [i])) (*(    in_BRANCH_COMPLETE_VAL      [i]));
     128        (*(component_RegisterFile->out_WRITE_ACK     [i])) (*(   out_BRANCH_COMPLETE_ACK      [i]));
    125129        (*(component_RegisterFile-> in_WRITE_ADDRESS [i])) (*(    in_BRANCH_COMPLETE_ADDRESS  [i]));
    126130        (*(component_RegisterFile-> in_WRITE_DATA    [i])) (*(signal_BRANCH_COMPLETE_HISTORY  [i]));   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/src/Pattern_History_Table_deallocation.cpp

    r3 r15  
    2323
    2424    delete in_CLOCK;
     25    delete in_NRESET;
    2526
    2627    for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/src/Pattern_History_Table_vhdl_body.cpp

    r3 r15  
    2222    log_printf(FUNC,Pattern_History_Table,"vhdl_body","Begin");
    2323
    24     vhdl.set_body ("-- Output : always at '1'");
    25     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    26       vhdl.set_body ("out_BRANCH_COMPLETE_ACK_"+toString(i)+" <= '1';");
    27     for (uint32_t i=0; i<_param._nb_prediction     ; i++)
    28       vhdl.set_body ("out_PREDICT_ACK_"+toString(i)+"         <= '1';");
    29     vhdl.set_body ("");
     24//     vhdl.set_body ("-- Output : always at '1'");
     25//     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
     26//       vhdl.set_body ("out_BRANCH_COMPLETE_ACK_"+toString(i)+" <= '1';");
     27//     for (uint32_t i=0; i<_param._nb_prediction     ; i++)
     28//       vhdl.set_body ("out_PREDICT_ACK_"+toString(i)+"         <= '1';");
     29//     vhdl.set_body ("");
    3030
    3131    list<string> list_port_map;
     
    4141
    4242    list_port_map.clear();
    43     vhdl.set_body_component_port_map (list_port_map,"in_CLOCK","in_CLOCK");
     43    vhdl.set_body_component_port_map (list_port_map,"in_CLOCK ","in_CLOCK ");
     44    vhdl.set_body_component_port_map (list_port_map,"in_NRESET","in_NRESET");
     45
    4446    for (uint32_t i=0; i<_param._nb_prediction; i++)
    4547      {
    46         vhdl.set_body_component_port_map (list_port_map," in_READ_ENABLE_"+toString(i)+"  "," in_PREDICT_VAL_"+toString(i));
     48        vhdl.set_body_component_port_map (list_port_map," in_READ_VAL_"+toString(i)+"     "," in_PREDICT_VAL_"+toString(i));
     49        vhdl.set_body_component_port_map (list_port_map,"out_READ_ACK_"+toString(i)+"     ","out_PREDICT_ACK_"+toString(i));
    4750        vhdl.set_body_component_port_map (list_port_map," in_READ_ADDRESS_"+toString(i)+" "," in_PREDICT_ADDRESS_"+toString(i));
    4851        vhdl.set_body_component_port_map (list_port_map,"out_READ_DATA_"+toString(i)+"    ","out_PREDICT_HISTORY_"+toString(i));   
     
    5154    for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    5255      {
    53         vhdl.set_body_component_port_map (list_port_map," in_WRITE_ENABLE_"+toString(i)+" ","    in_BRANCH_COMPLETE_VAL_"+toString(i)+"");
     56        vhdl.set_body_component_port_map (list_port_map," in_WRITE_VAL_"+toString(i)+"    ","    in_BRANCH_COMPLETE_VAL_"+toString(i)+"");
     57        vhdl.set_body_component_port_map (list_port_map,"out_WRITE_ACK_"+toString(i)+"    ","   out_BRANCH_COMPLETE_ACK_"+toString(i)+"");
    5458        vhdl.set_body_component_port_map (list_port_map," in_WRITE_ADDRESS_"+toString(i)+"","    in_BRANCH_COMPLETE_ADDRESS_"+toString(i));
    5559        vhdl.set_body_component_port_map (list_port_map," in_WRITE_DATA_"+toString(i)+"   ","signal_BRANCH_COMPLETE_HISTORY_"+toString(i));
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/src/Pattern_History_Table_vhdl_port.cpp

    r3 r15  
    2323
    2424    vhdl.set_port (" in_CLOCK" , IN, 1);
     25    vhdl.set_port (" in_NRESET", IN, 1);
    2526
    2627    for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/src/Pattern_History_Table_vhdl_testbench_port.cpp

    r3 r15  
    2121  {
    2222    log_printf(FUNC,Pattern_History_Table,"vhdl_testbench_port","Begin");
     23
     24    _vhdl_testbench->set_port (" in_NRESET", IN, 1);
    2325
    2426    for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/src/Pattern_History_Table_vhdl_testbench_transition.cpp

    r3 r15  
    3030    // (because we have no control on the ordonnancer's policy)
    3131
     32    _vhdl_testbench->add_input  (PORT_READ( in_NRESET));
     33
    3234    for (uint32_t i=0; i<_param._nb_prediction; i++)
    3335      {
    3436        _vhdl_testbench->add_input  (PORT_READ( in_PREDICT_VAL     [i]));
    35         _vhdl_testbench->add_output (PORT_READ(out_PREDICT_ACK     [i]));
     37        _vhdl_testbench->add_output (PORT_READ(component_RegisterFile->out_READ_ACK  [i]));
    3638        _vhdl_testbench->add_input  (PORT_READ( in_PREDICT_ADDRESS [i]));
    3739        _vhdl_testbench->add_output (PORT_READ(component_RegisterFile->out_READ_DATA [i]));
     
    4244       {
    4345         _vhdl_testbench->add_input  (PORT_READ( in_BRANCH_COMPLETE_VAL      [i]));
    44          _vhdl_testbench->add_output (PORT_READ(out_BRANCH_COMPLETE_ACK      [i]));
     46         _vhdl_testbench->add_output (PORT_READ(component_RegisterFile->out_WRITE_ACK [i]));
    4547         _vhdl_testbench->add_input  (PORT_READ( in_BRANCH_COMPLETE_ADDRESS  [i]));
    4648         _vhdl_testbench->add_input  (PORT_READ( in_BRANCH_COMPLETE_HISTORY  [i]));
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/SelfTest/mkf.info

    r3 r15  
    1 
    2 # Two_Level_Branch_Predictor_0
    3 target_dep      all     Two_Level_Branch_Predictor_0.ngc
    4 target_dep      Two_Level_Branch_Predictor_0.ngc        Two_Level_Branch_Predictor_0.prj
    5 target_dep      Two_Level_Branch_Predictor_0.prj        Two_Level_Branch_Predictor_0_Branch_History_Table_Pack.vhdl Two_Level_Branch_Predictor_0_Branch_History_Table_RegisterFile_Pack.vhdl Two_Level_Branch_Predictor_0_Branch_History_Table_RegisterFile.vhdl Two_Level_Branch_Predictor_0_Branch_History_Table_Shifter_Pack.vhdl Two_Level_Branch_Predictor_0_Branch_History_Table_Shifter.vhdl Two_Level_Branch_Predictor_0_Branch_History_Table.vhdl Two_Level_Branch_Predictor_0_Pack.vhdl Two_Level_Branch_Predictor_0_Pattern_History_Table_Counter_Pack.vhdl Two_Level_Branch_Predictor_0_Pattern_History_Table_Counter.vhdl Two_Level_Branch_Predictor_0_Pattern_History_Table_Pack.vhdl Two_Level_Branch_Predictor_0_Pattern_History_Table_RegisterFile_Pack.vhdl Two_Level_Branch_Predictor_0_Pattern_History_Table_RegisterFile.vhdl Two_Level_Branch_Predictor_0_Pattern_History_Table.vhdl Two_Level_Branch_Predictor_0_Two_Level_Branch_Predictor_Glue_Pack.vhdl Two_Level_Branch_Predictor_0_Two_Level_Branch_Predictor_Glue.vhdl Two_Level_Branch_Predictor_0.vhdl
    6 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/SelfTest/src/test.cpp

    r5 r15  
    2929   *********************************************************************/
    3030  sc_clock                              *  CLOCK;
     31  sc_signal<Tcontrol_t>                 *  NRESET;
    3132
    3233  sc_signal<Tcontrol_t>                 *  PREDICT_VAL                 [param._nb_prediction];
     
    4748  string rename;
    4849
    49   CLOCK = new sc_clock ("clock", 1.0, 0.5);
     50  CLOCK  = new sc_clock              ("clock", 1.0, 0.5);
     51  NRESET = new sc_signal<Tcontrol_t> ("NRESET");
    5052
    5153  for (uint32_t i=0; i<param._nb_prediction; i++)
     
    8587  cout << "<" << name << "> Instanciation of _Two_Level_Branch_Predictor" << endl;
    8688 
    87   (*(_Two_Level_Branch_Predictor->in_CLOCK))        (*(CLOCK));
     89  (*(_Two_Level_Branch_Predictor->in_CLOCK ))        (*(CLOCK ));
     90  (*(_Two_Level_Branch_Predictor->in_NRESET))        (*(NRESET));
    8891 
    8992  for (uint32_t i=0; i<param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Two_Level_Branch_Predictor_Glue/SelfTest/mkf.info

    r2 r15  
    1 
    2 # Two_Level_Branch_Predictor_Glue_0
    3 target_dep      all     Two_Level_Branch_Predictor_Glue_0.ngc
    4 target_dep      Two_Level_Branch_Predictor_Glue_0.ngc   Two_Level_Branch_Predictor_Glue_0.prj
    5 target_dep      Two_Level_Branch_Predictor_Glue_0.prj   Two_Level_Branch_Predictor_Glue_0_Pack.vhdl Two_Level_Branch_Predictor_Glue_0.vhdl
    6 
    7 # Two_Level_Branch_Predictor_Glue_10
    8 target_dep      all     Two_Level_Branch_Predictor_Glue_10.ngc
    9 target_dep      Two_Level_Branch_Predictor_Glue_10.ngc  Two_Level_Branch_Predictor_Glue_10.prj
    10 target_dep      Two_Level_Branch_Predictor_Glue_10.prj  Two_Level_Branch_Predictor_Glue_10_Pack.vhdl Two_Level_Branch_Predictor_Glue_10.vhdl
    11 
    12 # Two_Level_Branch_Predictor_Glue_11
    13 target_dep      all     Two_Level_Branch_Predictor_Glue_11.ngc
    14 target_dep      Two_Level_Branch_Predictor_Glue_11.ngc  Two_Level_Branch_Predictor_Glue_11.prj
    15 target_dep      Two_Level_Branch_Predictor_Glue_11.prj  Two_Level_Branch_Predictor_Glue_11_Pack.vhdl Two_Level_Branch_Predictor_Glue_11.vhdl
    16 
    17 # Two_Level_Branch_Predictor_Glue_12
    18 target_dep      all     Two_Level_Branch_Predictor_Glue_12.ngc
    19 target_dep      Two_Level_Branch_Predictor_Glue_12.ngc  Two_Level_Branch_Predictor_Glue_12.prj
    20 target_dep      Two_Level_Branch_Predictor_Glue_12.prj  Two_Level_Branch_Predictor_Glue_12_Pack.vhdl Two_Level_Branch_Predictor_Glue_12.vhdl
    21 
    22 # Two_Level_Branch_Predictor_Glue_13
    23 target_dep      all     Two_Level_Branch_Predictor_Glue_13.ngc
    24 target_dep      Two_Level_Branch_Predictor_Glue_13.ngc  Two_Level_Branch_Predictor_Glue_13.prj
    25 target_dep      Two_Level_Branch_Predictor_Glue_13.prj  Two_Level_Branch_Predictor_Glue_13_Pack.vhdl Two_Level_Branch_Predictor_Glue_13.vhdl
    26 
    27 # Two_Level_Branch_Predictor_Glue_14
    28 target_dep      all     Two_Level_Branch_Predictor_Glue_14.ngc
    29 target_dep      Two_Level_Branch_Predictor_Glue_14.ngc  Two_Level_Branch_Predictor_Glue_14.prj
    30 target_dep      Two_Level_Branch_Predictor_Glue_14.prj  Two_Level_Branch_Predictor_Glue_14_Pack.vhdl Two_Level_Branch_Predictor_Glue_14.vhdl
    31 
    32 # Two_Level_Branch_Predictor_Glue_15
    33 target_dep      all     Two_Level_Branch_Predictor_Glue_15.ngc
    34 target_dep      Two_Level_Branch_Predictor_Glue_15.ngc  Two_Level_Branch_Predictor_Glue_15.prj
    35 target_dep      Two_Level_Branch_Predictor_Glue_15.prj  Two_Level_Branch_Predictor_Glue_15_Pack.vhdl Two_Level_Branch_Predictor_Glue_15.vhdl
    36 
    37 # Two_Level_Branch_Predictor_Glue_16
    38 target_dep      all     Two_Level_Branch_Predictor_Glue_16.ngc
    39 target_dep      Two_Level_Branch_Predictor_Glue_16.ngc  Two_Level_Branch_Predictor_Glue_16.prj
    40 target_dep      Two_Level_Branch_Predictor_Glue_16.prj  Two_Level_Branch_Predictor_Glue_16_Pack.vhdl Two_Level_Branch_Predictor_Glue_16.vhdl
    41 
    42 # Two_Level_Branch_Predictor_Glue_17
    43 target_dep      all     Two_Level_Branch_Predictor_Glue_17.ngc
    44 target_dep      Two_Level_Branch_Predictor_Glue_17.ngc  Two_Level_Branch_Predictor_Glue_17.prj
    45 target_dep      Two_Level_Branch_Predictor_Glue_17.prj  Two_Level_Branch_Predictor_Glue_17_Pack.vhdl Two_Level_Branch_Predictor_Glue_17.vhdl
    46 
    47 # Two_Level_Branch_Predictor_Glue_18
    48 target_dep      all     Two_Level_Branch_Predictor_Glue_18.ngc
    49 target_dep      Two_Level_Branch_Predictor_Glue_18.ngc  Two_Level_Branch_Predictor_Glue_18.prj
    50 target_dep      Two_Level_Branch_Predictor_Glue_18.prj  Two_Level_Branch_Predictor_Glue_18_Pack.vhdl Two_Level_Branch_Predictor_Glue_18.vhdl
    51 
    52 # Two_Level_Branch_Predictor_Glue_19
    53 target_dep      all     Two_Level_Branch_Predictor_Glue_19.ngc
    54 target_dep      Two_Level_Branch_Predictor_Glue_19.ngc  Two_Level_Branch_Predictor_Glue_19.prj
    55 target_dep      Two_Level_Branch_Predictor_Glue_19.prj  Two_Level_Branch_Predictor_Glue_19_Pack.vhdl Two_Level_Branch_Predictor_Glue_19.vhdl
    56 
    57 # Two_Level_Branch_Predictor_Glue_1
    58 target_dep      all     Two_Level_Branch_Predictor_Glue_1.ngc
    59 target_dep      Two_Level_Branch_Predictor_Glue_1.ngc   Two_Level_Branch_Predictor_Glue_1.prj
    60 target_dep      Two_Level_Branch_Predictor_Glue_1.prj   Two_Level_Branch_Predictor_Glue_10_Pack.vhdl Two_Level_Branch_Predictor_Glue_10.vhdl Two_Level_Branch_Predictor_Glue_11_Pack.vhdl Two_Level_Branch_Predictor_Glue_11.vhdl Two_Level_Branch_Predictor_Glue_12_Pack.vhdl Two_Level_Branch_Predictor_Glue_12.vhdl Two_Level_Branch_Predictor_Glue_13_Pack.vhdl Two_Level_Branch_Predictor_Glue_13.vhdl Two_Level_Branch_Predictor_Glue_14_Pack.vhdl Two_Level_Branch_Predictor_Glue_14.vhdl Two_Level_Branch_Predictor_Glue_15_Pack.vhdl Two_Level_Branch_Predictor_Glue_15.vhdl Two_Level_Branch_Predictor_Glue_16_Pack.vhdl Two_Level_Branch_Predictor_Glue_16.vhdl Two_Level_Branch_Predictor_Glue_17_Pack.vhdl Two_Level_Branch_Predictor_Glue_17.vhdl Two_Level_Branch_Predictor_Glue_18_Pack.vhdl Two_Level_Branch_Predictor_Glue_18.vhdl Two_Level_Branch_Predictor_Glue_19_Pack.vhdl Two_Level_Branch_Predictor_Glue_19.vhdl Two_Level_Branch_Predictor_Glue_1_Pack.vhdl Two_Level_Branch_Predictor_Glue_1.vhdl
    61 
    62 # Two_Level_Branch_Predictor_Glue_20
    63 target_dep      all     Two_Level_Branch_Predictor_Glue_20.ngc
    64 target_dep      Two_Level_Branch_Predictor_Glue_20.ngc  Two_Level_Branch_Predictor_Glue_20.prj
    65 target_dep      Two_Level_Branch_Predictor_Glue_20.prj  Two_Level_Branch_Predictor_Glue_20_Pack.vhdl Two_Level_Branch_Predictor_Glue_20.vhdl
    66 
    67 # Two_Level_Branch_Predictor_Glue_21
    68 target_dep      all     Two_Level_Branch_Predictor_Glue_21.ngc
    69 target_dep      Two_Level_Branch_Predictor_Glue_21.ngc  Two_Level_Branch_Predictor_Glue_21.prj
    70 target_dep      Two_Level_Branch_Predictor_Glue_21.prj  Two_Level_Branch_Predictor_Glue_21_Pack.vhdl Two_Level_Branch_Predictor_Glue_21.vhdl
    71 
    72 # Two_Level_Branch_Predictor_Glue_22
    73 target_dep      all     Two_Level_Branch_Predictor_Glue_22.ngc
    74 target_dep      Two_Level_Branch_Predictor_Glue_22.ngc  Two_Level_Branch_Predictor_Glue_22.prj
    75 target_dep      Two_Level_Branch_Predictor_Glue_22.prj  Two_Level_Branch_Predictor_Glue_22_Pack.vhdl Two_Level_Branch_Predictor_Glue_22.vhdl
    76 
    77 # Two_Level_Branch_Predictor_Glue_23
    78 target_dep      all     Two_Level_Branch_Predictor_Glue_23.ngc
    79 target_dep      Two_Level_Branch_Predictor_Glue_23.ngc  Two_Level_Branch_Predictor_Glue_23.prj
    80 target_dep      Two_Level_Branch_Predictor_Glue_23.prj  Two_Level_Branch_Predictor_Glue_23_Pack.vhdl Two_Level_Branch_Predictor_Glue_23.vhdl
    81 
    82 # Two_Level_Branch_Predictor_Glue_2
    83 target_dep      all     Two_Level_Branch_Predictor_Glue_2.ngc
    84 target_dep      Two_Level_Branch_Predictor_Glue_2.ngc   Two_Level_Branch_Predictor_Glue_2.prj
    85 target_dep      Two_Level_Branch_Predictor_Glue_2.prj   Two_Level_Branch_Predictor_Glue_20_Pack.vhdl Two_Level_Branch_Predictor_Glue_20.vhdl Two_Level_Branch_Predictor_Glue_21_Pack.vhdl Two_Level_Branch_Predictor_Glue_21.vhdl Two_Level_Branch_Predictor_Glue_22_Pack.vhdl Two_Level_Branch_Predictor_Glue_22.vhdl Two_Level_Branch_Predictor_Glue_23_Pack.vhdl Two_Level_Branch_Predictor_Glue_23.vhdl Two_Level_Branch_Predictor_Glue_2_Pack.vhdl Two_Level_Branch_Predictor_Glue_2.vhdl
    86 
    87 # Two_Level_Branch_Predictor_Glue_3
    88 target_dep      all     Two_Level_Branch_Predictor_Glue_3.ngc
    89 target_dep      Two_Level_Branch_Predictor_Glue_3.ngc   Two_Level_Branch_Predictor_Glue_3.prj
    90 target_dep      Two_Level_Branch_Predictor_Glue_3.prj   Two_Level_Branch_Predictor_Glue_3_Pack.vhdl Two_Level_Branch_Predictor_Glue_3.vhdl
    91 
    92 # Two_Level_Branch_Predictor_Glue_4
    93 target_dep      all     Two_Level_Branch_Predictor_Glue_4.ngc
    94 target_dep      Two_Level_Branch_Predictor_Glue_4.ngc   Two_Level_Branch_Predictor_Glue_4.prj
    95 target_dep      Two_Level_Branch_Predictor_Glue_4.prj   Two_Level_Branch_Predictor_Glue_4_Pack.vhdl Two_Level_Branch_Predictor_Glue_4.vhdl
    96 
    97 # Two_Level_Branch_Predictor_Glue_5
    98 target_dep      all     Two_Level_Branch_Predictor_Glue_5.ngc
    99 target_dep      Two_Level_Branch_Predictor_Glue_5.ngc   Two_Level_Branch_Predictor_Glue_5.prj
    100 target_dep      Two_Level_Branch_Predictor_Glue_5.prj   Two_Level_Branch_Predictor_Glue_5_Pack.vhdl Two_Level_Branch_Predictor_Glue_5.vhdl
    101 
    102 # Two_Level_Branch_Predictor_Glue_6
    103 target_dep      all     Two_Level_Branch_Predictor_Glue_6.ngc
    104 target_dep      Two_Level_Branch_Predictor_Glue_6.ngc   Two_Level_Branch_Predictor_Glue_6.prj
    105 target_dep      Two_Level_Branch_Predictor_Glue_6.prj   Two_Level_Branch_Predictor_Glue_6_Pack.vhdl Two_Level_Branch_Predictor_Glue_6.vhdl
    106 
    107 # Two_Level_Branch_Predictor_Glue_7
    108 target_dep      all     Two_Level_Branch_Predictor_Glue_7.ngc
    109 target_dep      Two_Level_Branch_Predictor_Glue_7.ngc   Two_Level_Branch_Predictor_Glue_7.prj
    110 target_dep      Two_Level_Branch_Predictor_Glue_7.prj   Two_Level_Branch_Predictor_Glue_7_Pack.vhdl Two_Level_Branch_Predictor_Glue_7.vhdl
    111 
    112 # Two_Level_Branch_Predictor_Glue_8
    113 target_dep      all     Two_Level_Branch_Predictor_Glue_8.ngc
    114 target_dep      Two_Level_Branch_Predictor_Glue_8.ngc   Two_Level_Branch_Predictor_Glue_8.prj
    115 target_dep      Two_Level_Branch_Predictor_Glue_8.prj   Two_Level_Branch_Predictor_Glue_8_Pack.vhdl Two_Level_Branch_Predictor_Glue_8.vhdl
    116 
    117 # Two_Level_Branch_Predictor_Glue_9
    118 target_dep      all     Two_Level_Branch_Predictor_Glue_9.ngc
    119 target_dep      Two_Level_Branch_Predictor_Glue_9.ngc   Two_Level_Branch_Predictor_Glue_9.prj
    120 target_dep      Two_Level_Branch_Predictor_Glue_9.prj   Two_Level_Branch_Predictor_Glue_9_Pack.vhdl Two_Level_Branch_Predictor_Glue_9.vhdl
    121 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/include/Two_Level_Branch_Predictor.h

    r3 r15  
    7070    // Interface
    7171  public    : SC_CLOCK                      *  in_CLOCK                      ;
    72 
     72  public    : SC_IN (Tcontrol_t)            *  in_NRESET                     ;
    7373    // Interface Predict
    7474  public    : SC_IN (Tcontrol_t)           **  in_PREDICT_VAL                ;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_allocation.cpp

    r3 r15  
    2323
    2424     in_CLOCK  = new SC_CLOCK           ("in_CLOCK");
     25     in_NRESET = new SC_IN (Tcontrol_t) ("in_NRESET");
    2526
    2627     in_PREDICT_VAL                 = new SC_IN (Tcontrol_t)     * [_param._nb_prediction     ];
     
    161162       
    162163        // Instantiation
    163         (*(component_Branch_History_Table->in_CLOCK))        (*(in_CLOCK));
     164        (*(component_Branch_History_Table->in_CLOCK ))        (*(in_CLOCK ));
     165        (*(component_Branch_History_Table->in_NRESET))        (*(in_NRESET));
    164166
    165167        for (uint32_t i=0; i<_param._nb_prediction; i++)
     
    196198        // Instantiation
    197199        (*(component_Pattern_History_Table->in_CLOCK))        (*(in_CLOCK));
     200        (*(component_Pattern_History_Table->in_NRESET))       (*(in_NRESET));
    198201       
    199202        for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_deallocation.cpp

    r3 r15  
    2121
    2222    delete in_CLOCK;
     23    delete in_NRESET;
    2324
    2425    for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_vhdl_body.cpp

    r3 r15  
    2727        list_port_map.clear();
    2828
    29         vhdl.set_body_component_port_map (list_port_map,"in_CLOCK","in_CLOCK");
     29        vhdl.set_body_component_port_map (list_port_map,"in_CLOCK ","in_CLOCK ");
     30        vhdl.set_body_component_port_map (list_port_map,"in_NRESET","in_NRESET");
    3031
    3132        for (uint32_t i=0; i<_param._nb_prediction; i++)
     
    5354        list_port_map.clear();
    5455
    55         vhdl.set_body_component_port_map (list_port_map,"in_CLOCK","in_CLOCK");
     56        vhdl.set_body_component_port_map (list_port_map,"in_CLOCK ","in_CLOCK ");
     57        vhdl.set_body_component_port_map (list_port_map,"in_NRESET","in_NRESET");
    5658
    5759        for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_vhdl_port.cpp

    r3 r15  
    2020    log_printf(FUNC,Two_Level_Branch_Predictor,"vhdl_port","Begin");
    2121
    22     vhdl.set_port (" in_CLOCK" , IN, 1);
     22    vhdl.set_port (" in_CLOCK ", IN, 1);
     23    vhdl.set_port (" in_NRESET", IN, 1);
    2324
    2425    for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_vhdl_testbench_port.cpp

    r3 r15  
    2020  {
    2121    log_printf(FUNC,Two_Level_Branch_Predictor,"vhdl_testbench_port","Begin");
     22
     23    _vhdl_testbench->set_port (" in_NRESET", IN, 1);
    2224
    2325    for (uint32_t i=0; i<_param._nb_prediction; i++)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_vhdl_testbench_transition.cpp

    r3 r15  
    2525#endif   
    2626
     27    _vhdl_testbench->add_input (PORT_READ( in_NRESET));
     28   
    2729    // In order with file Two_Level_Branch_Predictor_vhdl_testbench_port.cpp
    2830    // Warning : if a output depend of a subcomponent, take directly the port of subcomponent
Note: See TracChangeset for help on using the changeset viewer.